Trace number 3489640

Some explanations

A solver is run under the control of another program named runsolver. runsolver is in charge of imposing the CPU time limit and the memory limit to the solver. It also monitors some information about the process. The trace of the execution of a solver is divided into four (or five) parts:
  1. SOLVER DATA
    This is the output of the solver (stdout and stderr).
    Note that some very long lines in this section may be truncated by your web browser ! In such a case, you may want to use the "Download as text" link to get the trace as a text file.

    When the --timestamp option is passed to the runsolver program, each line output by the solver is prepended with a timestamp which indicates at what time the line was output by the solver. Times are relative to the start of the program, given in seconds. The first timestamp (if present) is estimated CPU time. The last timestamp is wall clock time.

    As some 'v lines' may be very long (sometimes several megabytes), the 'v line' output by your solver may be split on several lines to help limit the size of the trace recorded in the database. In any case, the exact output of your solver is preserved in a trace file.
  2. VERIFIER DATA
    The output of the solver is piped to a verifier program which will search a value line "v " and, if found, will check that the given interpretation satisfies all constraints.
  3. CONVERSION SCRIPT DATA (Optionnal)
    When a conversion script is used, this section shows the messages that were output by the conversion script.
  4. WATCHER DATA
    This is the informations gathered by the runsolver program. It first prints the different limits. There's a first limit on CPU time set to X seconds (see the parameters in the trace). After this time has ellapsed, runsolver sends a SIGTERM and 2 seconds later a SIGKILL to the solver. For safety, there's also another limit set to X+30 seconds which will send a SIGXPU to the solver. The last limit is on the virtual memory used by the process (see the parameters in the trace).
    Every ten seconds, the runsolver process fetches the content of /proc/loadavg, /proc/pid/stat and /proc/pid/statm (see man proc) and prints it as raw data. This is only recorded in case we need to investigate the behaviour of a solver. The memory used by the solver (vsize) is also given every ten seconds.
    When the solver exits, runsolver prints some informations such as status and time. CPU usage is the ratio CPU Time/Real Time.
  5. LAUNCHER DATA
    These informations are related to the script which will launch the solver. The most important informations are the command line given to the solver, the md5sum of the different files and the dump of the /proc/cpuinfo and /proc/meminfo which provides some useful information on the computer.

Solver answer on this benchmark

Solver NameAnswerCPU timeWall clock time
SCIP spx E_2 2011-06-10 (fixed)SAT 1.11883 1.11939

General information on the benchmark

Name/DEC-SMALLINT-LIN/oliveras/j60/
normalized-j6048_4-sat.opb
MD5SUMd1ea14abd0b6b02702d61e51284651d4
Bench CategoryDEC-SMALLINT-LIN (no optimisation, small integers, linear constraints)
Best result obtained on this benchmarkSAT
Best value of the objective obtained on this benchmark0
Best CPU time to get the best result obtained on this benchmark0.024995
Has Objective FunctionNO
Satisfiable
(Un)Satisfiability was proved
Best value of the objective function
Optimality of the best value was proved
Number of variables7686
Total number of constraints26213
Number of constraints which are clauses25965
Number of constraints which are cardinality constraints (but not clauses)0
Number of constraints which are nor clauses,nor cardinality constraints248
Minimum length of a constraint1
Maximum length of a constraint60
Number of terms in the objective function 0
Biggest coefficient in the objective function 0
Number of bits for the biggest coefficient in the objective function 0
Sum of the numbers in the objective function 0
Number of bits of the sum of numbers in the objective function 0
Biggest number in a constraint 56
Number of bits of the biggest number in a constraint 6
Biggest sum of numbers in a constraint 405
Number of bits of the biggest sum of numbers9
Number of products (including duplicates)0
Sum of products size (including duplicates)0
Number of different products0
Sum of products size0

Solver Data

0.00/0.00	c SCIP version 2.0.1.5 [precision: 8 byte] [memory: block] [mode: optimized] [LP solver: SoPlex 1.5.0.5]
0.00/0.00	c Copyright (c) 2002-2011 Konrad-Zuse-Zentrum fuer Informationstechnik Berlin (ZIB)
0.00/0.00	c 
0.00/0.00	c user parameter file <scip.set> not found - using default parameters
0.00/0.00	c reading problem <HOME/instance-3489640-1307791423.opb>
0.09/0.14	c original problem has 7686 variables (7686 bin, 0 int, 0 impl, 0 cont) and 26213 constraints
0.09/0.14	c problem read
0.09/0.14	c No objective function, only one solution is needed.
0.09/0.14	c presolving settings loaded
0.09/0.19	c presolving:
0.59/0.64	c (round 1) 3016 del vars, 8143 del conss, 0 add conss, 2352 chg bounds, 0 chg sides, 0 chg coeffs, 0 upgd conss, 1143062 impls, 0 clqs
0.79/0.80	c (round 2) 5143 del vars, 17550 del conss, 0 add conss, 4238 chg bounds, 0 chg sides, 0 chg coeffs, 0 upgd conss, 1158292 impls, 0 clqs
0.79/0.82	c (round 3) 5753 del vars, 20230 del conss, 0 add conss, 4614 chg bounds, 30 chg sides, 32 chg coeffs, 0 upgd conss, 1162262 impls, 0 clqs
0.79/0.84	c (round 4) 6317 del vars, 22118 del conss, 0 add conss, 5054 chg bounds, 39 chg sides, 49 chg coeffs, 0 upgd conss, 1167966 impls, 0 clqs
0.79/0.85	c (round 5) 6643 del vars, 23254 del conss, 0 add conss, 5291 chg bounds, 39 chg sides, 49 chg coeffs, 0 upgd conss, 1169964 impls, 0 clqs
0.79/0.85	c (round 6) 6722 del vars, 23669 del conss, 0 add conss, 5350 chg bounds, 53 chg sides, 61 chg coeffs, 0 upgd conss, 1170232 impls, 0 clqs
0.79/0.85	c (round 7) 6747 del vars, 23831 del conss, 0 add conss, 5372 chg bounds, 77 chg sides, 81 chg coeffs, 0 upgd conss, 1170288 impls, 0 clqs
0.79/0.85	c (round 8) 6748 del vars, 23854 del conss, 0 add conss, 5372 chg bounds, 94 chg sides, 96 chg coeffs, 0 upgd conss, 1170288 impls, 0 clqs
0.79/0.85	c (round 9) 6749 del vars, 23859 del conss, 0 add conss, 5372 chg bounds, 94 chg sides, 96 chg coeffs, 0 upgd conss, 1170288 impls, 0 clqs
0.79/0.87	c (round 10) 6749 del vars, 23859 del conss, 0 add conss, 5372 chg bounds, 94 chg sides, 96 chg coeffs, 2354 upgd conss, 1170288 impls, 0 clqs
0.79/0.88	c (round 11) 6749 del vars, 23859 del conss, 0 add conss, 5372 chg bounds, 167 chg sides, 292 chg coeffs, 2354 upgd conss, 1170288 impls, 1 clqs
0.79/0.88	c (round 12) 6750 del vars, 23861 del conss, 0 add conss, 5372 chg bounds, 168 chg sides, 334 chg coeffs, 2354 upgd conss, 1170288 impls, 1 clqs
0.79/0.88	c (round 13) 6751 del vars, 23862 del conss, 0 add conss, 5372 chg bounds, 169 chg sides, 356 chg coeffs, 2354 upgd conss, 1170288 impls, 2 clqs
0.79/0.89	c (round 14) 6751 del vars, 23862 del conss, 0 add conss, 5372 chg bounds, 169 chg sides, 367 chg coeffs, 2354 upgd conss, 1170288 impls, 2 clqs
0.79/0.89	c (round 15) 6751 del vars, 23862 del conss, 0 add conss, 5372 chg bounds, 169 chg sides, 371 chg coeffs, 2354 upgd conss, 1170288 impls, 3 clqs
0.89/0.90	c presolving (16 rounds):
0.89/0.90	c  6751 deleted vars, 23862 deleted constraints, 0 added constraints, 5372 tightened bounds, 0 added holes, 169 changed sides, 371 changed coefficients
0.89/0.90	c  1170288 implications, 3 cliques
0.89/0.90	c presolved problem has 935 variables (935 bin, 0 int, 0 impl, 0 cont) and 2351 constraints
0.89/0.90	c     183 constraints of type <knapsack>
0.89/0.90	c    1791 constraints of type <setppc>
0.89/0.90	c     377 constraints of type <logicor>
0.89/0.90	c transformed objective value is always integral (scale: 1)
0.89/0.90	c Presolving Time: 0.72
0.89/0.90	c - non default parameters ----------------------------------------------------------------------
0.89/0.90	c # SCIP version 2.0.1.5
0.89/0.90	c 
0.89/0.90	c # frequency for displaying node information lines
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 100]
0.89/0.90	c display/freq = 10000
0.89/0.90	c 
0.89/0.90	c # maximal time in seconds to run
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 1e+20]
0.89/0.90	c limits/time = 1797
0.89/0.90	c 
0.89/0.90	c # maximal memory usage in MB; reported memory usage is lower than real memory usage!
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 1e+20]
0.89/0.90	c limits/memory = 13950
0.89/0.90	c 
0.89/0.90	c # solving stops, if the given number of solutions were found (-1: no limit)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: -1]
0.89/0.90	c limits/solutions = 1
0.89/0.90	c 
0.89/0.90	c # maximal number of separation rounds per node (-1: unlimited)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 5]
0.89/0.90	c separating/maxrounds = 1
0.89/0.90	c 
0.89/0.90	c # maximal number of separation rounds in the root node (-1: unlimited)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: -1]
0.89/0.90	c separating/maxroundsroot = 5
0.89/0.90	c 
0.89/0.90	c # default clock type (1: CPU user seconds, 2: wall clock time)
0.89/0.90	c # [type: int, range: [1,2], default: 1]
0.89/0.90	c timing/clocktype = 2
0.89/0.90	c 
0.89/0.90	c # belongs reading time to solving time?
0.89/0.90	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.89/0.90	c timing/reading = TRUE
0.89/0.90	c 
0.89/0.90	c # should presolving try to simplify inequalities
0.89/0.90	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.89/0.90	c constraints/linear/simplifyinequalities = TRUE
0.89/0.90	c 
0.89/0.90	c # add initial coupling inequalities as linear constraints, if 'addCoupling' is true
0.89/0.90	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.89/0.90	c constraints/indicator/addCouplingCons = TRUE
0.89/0.90	c 
0.89/0.90	c # should disaggregation of knapsack constraints be allowed in preprocessing?
0.89/0.90	c # [type: bool, range: {TRUE,FALSE}, default: TRUE]
0.89/0.90	c constraints/knapsack/disaggregation = FALSE
0.89/0.90	c 
0.89/0.90	c # should presolving try to simplify knapsacks
0.89/0.90	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.89/0.90	c constraints/knapsack/simplifyinequalities = TRUE
0.89/0.90	c 
0.89/0.90	c # maximal number of presolving rounds the presolver participates in (-1: no limit)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: -1]
0.89/0.90	c presolving/probing/maxrounds = 0
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <coefdiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/coefdiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/coefdiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/coefdiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <crossover> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 30]
0.89/0.90	c heuristics/crossover/freq = -1
0.89/0.90	c 
0.89/0.90	c # number of nodes added to the contingent of the total nodes
0.89/0.90	c # [type: longint, range: [0,9223372036854775807], default: 500]
0.89/0.90	c heuristics/crossover/nodesofs = 750
0.89/0.90	c 
0.89/0.90	c # number of nodes without incumbent change that heuristic should wait
0.89/0.90	c # [type: longint, range: [0,9223372036854775807], default: 200]
0.89/0.90	c heuristics/crossover/nwaitingnodes = 100
0.89/0.90	c 
0.89/0.90	c # contingent of sub problem nodes in relation to the number of nodes of the original problem
0.89/0.90	c # [type: real, range: [0,1], default: 0.1]
0.89/0.90	c heuristics/crossover/nodesquot = 0.15
0.89/0.90	c 
0.89/0.90	c # minimum percentage of integer variables that have to be fixed 
0.89/0.90	c # [type: real, range: [0,1], default: 0.666]
0.89/0.90	c heuristics/crossover/minfixingrate = 0.5
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <feaspump> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 20]
0.89/0.90	c heuristics/feaspump/freq = -1
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/feaspump/maxlpiterofs = 2000
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <fracdiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/fracdiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/fracdiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/fracdiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <guideddiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/guideddiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/guideddiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/guideddiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/intdiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <intshifting> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/intshifting/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <linesearchdiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/linesearchdiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/linesearchdiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/linesearchdiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <objpscostdiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 20]
0.89/0.90	c heuristics/objpscostdiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to total iteration number
0.89/0.90	c # [type: real, range: [0,1], default: 0.01]
0.89/0.90	c heuristics/objpscostdiving/maxlpiterquot = 0.015
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/objpscostdiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <oneopt> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 1]
0.89/0.90	c heuristics/oneopt/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <pscostdiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/pscostdiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/pscostdiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/pscostdiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <rens> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 0]
0.89/0.90	c heuristics/rens/freq = -1
0.89/0.90	c 
0.89/0.90	c # minimum percentage of integer variables that have to be fixable 
0.89/0.90	c # [type: real, range: [0,1], default: 0.5]
0.89/0.90	c heuristics/rens/minfixingrate = 0.3
0.89/0.90	c 
0.89/0.90	c # number of nodes added to the contingent of the total nodes
0.89/0.90	c # [type: longint, range: [0,9223372036854775807], default: 500]
0.89/0.90	c heuristics/rens/nodesofs = 2000
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <rootsoldiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 20]
0.89/0.90	c heuristics/rootsoldiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.01]
0.89/0.90	c heuristics/rootsoldiving/maxlpiterquot = 0.015
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/rootsoldiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <rounding> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 1]
0.89/0.90	c heuristics/rounding/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <shiftandpropagate> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 0]
0.89/0.90	c heuristics/shiftandpropagate/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <shifting> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/shifting/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <simplerounding> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 1]
0.89/0.90	c heuristics/simplerounding/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <subnlp> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 1]
0.89/0.90	c heuristics/subnlp/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <trivial> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 0]
0.89/0.90	c heuristics/trivial/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <trysol> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 1]
0.89/0.90	c heuristics/trysol/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <undercover> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 0]
0.89/0.90	c heuristics/undercover/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <veclendiving> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 10]
0.89/0.90	c heuristics/veclendiving/freq = -1
0.89/0.90	c 
0.89/0.90	c # maximal fraction of diving LP iterations compared to node LP iterations
0.89/0.90	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.89/0.90	c heuristics/veclendiving/maxlpiterquot = 0.075
0.89/0.90	c 
0.89/0.90	c # additional number of allowed LP iterations
0.89/0.90	c # [type: int, range: [0,2147483647], default: 1000]
0.89/0.90	c heuristics/veclendiving/maxlpiterofs = 1500
0.89/0.90	c 
0.89/0.90	c # frequency for calling primal heuristic <zirounding> (-1: never, 0: only at depth freqofs)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 1]
0.89/0.90	c heuristics/zirounding/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling separator <cmir> (-1: never, 0: only in root node)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 0]
0.89/0.90	c separating/cmir/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling separator <flowcover> (-1: never, 0: only in root node)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: 0]
0.89/0.90	c separating/flowcover/freq = -1
0.89/0.90	c 
0.89/0.90	c # frequency for calling separator <rapidlearning> (-1: never, 0: only in root node)
0.89/0.90	c # [type: int, range: [-1,2147483647], default: -1]
0.89/0.90	c separating/rapidlearning/freq = 0
0.89/0.90	c 
0.89/0.90	c -----------------------------------------------------------------------------------------------
0.89/0.90	c start solving
0.89/0.90	c 
0.89/0.91	c  time | node  | left  |LP iter|LP it/n| mem |mdpt |frac |vars |cons |cols |rows |cuts |confs|strbr|  dualbound   | primalbound  |  gap   
0.89/0.91	c   0.9s|     1 |     0 |   200 |     - |  30M|   0 |  94 | 935 |2351 | 935 |2351 |   0 |   0 |   0 | 0.000000e+00 |      --      |    Inf 
1.00/1.07	c y 1.1s|     1 |     0 |   200 |     - |  30M|   0 |   - | 935 |2351 | 935 |2351 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
1.00/1.07	c   1.1s|     1 |     0 |   200 |     - |  30M|   0 |   - | 935 |2351 | 935 |2351 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
1.00/1.07	c 
1.00/1.07	c SCIP Status        : problem is solved [optimal solution found]
1.00/1.07	c Solving Time (sec) : 1.07
1.00/1.07	c Solving Nodes      : 1
1.00/1.07	c Primal Bound       : +0.00000000000000e+00 (1 solutions)
1.00/1.07	c Dual Bound         : +0.00000000000000e+00
1.00/1.07	c Gap                : 0.00 %
1.00/1.08	s SATISFIABLE
1.00/1.08	v x7686 -x7685 -x7684 -x7683 -x7682 -x7681 -x7680 -x7679 -x7678 -x7677 -x7676 -x7675 -x7674 -x7673 -x7672 -x7671 -x7670 -x7669 -x7668 
1.00/1.08	v -x7667 -x7666 -x7665 -x7664 -x7663 -x7662 -x7661 -x7660 -x7659 -x7658 -x7657 -x7656 -x7655 -x7654 -x7653 -x7652 -x7651 -x7650 
1.00/1.08	v -x7649 -x7648 -x7647 -x7646 -x7645 -x7644 -x7643 -x7642 -x7641 -x7640 -x7639 -x7638 -x7637 -x7636 -x7635 -x7634 -x7633 
1.00/1.08	v -x7632 -x7631 -x7630 -x7629 -x7628 -x7627 -x7626 -x7625 -x7624 -x7623 -x7622 -x7621 -x7620 x7619 x7618 -x7617 -x7616 -x7615 -x7614 
1.00/1.08	v -x7613 -x7612 -x7611 -x7610 -x7609 -x7608 -x7607 -x7606 -x7605 -x7604 -x7603 -x7602 -x7601 -x7600 -x7599 -x7598 -x7597 
1.00/1.08	v -x7596 -x7595 -x7594 -x7593 -x7592 -x7591 -x7590 -x7589 -x7588 -x7587 -x7586 -x7585 -x7584 -x7583 -x7582 -x7581 -x7580 -x7579 
1.00/1.08	v -x7578 -x7577 -x7576 -x7575 -x7574 -x7573 -x7572 -x7571 -x7570 -x7569 -x7568 -x7567 -x7566 -x7565 -x7564 -x7563 -x7562 -x7561 
1.00/1.08	v -x7560 x7559 x7558 x7557 x7556 x7555 x7554 x7553 x7552 -x7551 -x7550 -x7549 -x7548 -x7547 -x7546 -x7545 -x7544 -x7543 -x7542 
1.00/1.08	v -x7541 -x7540 -x7539 -x7538 -x7537 -x7536 -x7535 -x7534 -x7533 -x7532 -x7531 -x7530 -x7529 -x7528 -x7527 -x7526 -x7525 -x7524 
1.00/1.08	v -x7523 -x7522 -x7521 -x7520 -x7519 -x7518 -x7517 -x7516 -x7515 -x7514 -x7513 -x7512 -x7511 -x7510 -x7509 -x7508 -x7507 -x7506 
1.00/1.08	v -x7505 -x7504 -x7503 -x7502 -x7501 -x7500 -x7499 -x7498 -x7497 x7496 x7495 x7494 -x7493 -x7492 -x7491 -x7490 -x7489 -x7488 
1.00/1.08	v -x7487 -x7486 -x7485 -x7484 -x7483 -x7482 -x7481 -x7480 -x7479 -x7478 -x7477 -x7476 -x7475 -x7474 -x7473 -x7472 -x7471 -x7470 
1.00/1.08	v -x7469 -x7468 -x7467 -x7466 -x7465 -x7464 -x7463 -x7462 -x7461 -x7460 -x7459 -x7458 -x7457 -x7456 -x7455 -x7454 -x7453 -x7452 
1.00/1.08	v -x7451 -x7450 -x7449 -x7448 -x7447 -x7446 -x7445 -x7444 -x7443 -x7442 -x7441 -x7440 -x7439 -x7438 -x7437 -x7436 -x7435 -x7434 
1.00/1.08	v -x7433 -x7432 -x7431 -x7430 -x7429 -x7428 -x7427 -x7426 x7425 x7424 -x7423 -x7422 -x7421 -x7420 -x7419 -x7418 -x7417 -x7416 
1.00/1.08	v -x7415 -x7414 -x7413 -x7412 -x7411 -x7410 -x7409 -x7408 -x7407 -x7406 -x7405 -x7404 -x7403 -x7402 -x7401 -x7400 -x7399 -x7398 
1.00/1.08	v -x7397 -x7396 -x7395 -x7394 -x7393 -x7392 -x7391 -x7390 -x7389 -x7388 -x7387 -x7386 -x7385 -x7384 -x7383 -x7382 -x7381 -x7380 
1.00/1.08	v -x7379 -x7378 -x7377 -x7376 -x7375 -x7374 -x7373 -x7372 -x7371 -x7370 -x7369 -x7368 -x7367 -x7366 -x7365 x7364 x7363 x7362 
1.00/1.08	v x7361 x7360 x7359 -x7358 -x7357 -x7356 -x7355 -x7354 -x7353 -x7352 -x7351 -x7350 -x7349 -x7348 -x7347 -x7346 -x7345 -x7344 
1.00/1.08	v -x7343 -x7342 -x7341 -x7340 -x7339 -x7338 -x7337 -x7336 -x7335 -x7334 -x7333 -x7332 -x7331 -x7330 -x7329 -x7328 -x7327 -x7326 
1.00/1.08	v -x7325 -x7324 -x7323 -x7322 -x7321 -x7320 -x7319 -x7318 -x7317 -x7316 -x7315 -x7314 -x7313 -x7312 -x7311 -x7310 -x7309 -x7308 
1.00/1.08	v -x7307 -x7306 -x7305 -x7304 -x7303 -x7302 -x7301 -x7300 x7299 x7298 x7297 x7296 x7295 x7294 x7293 x7292 -x7291 -x7290 -x7289 
1.00/1.08	v -x7288 -x7287 -x7286 -x7285 -x7284 -x7283 -x7282 -x7281 -x7280 -x7279 -x7278 -x7277 -x7276 -x7275 -x7274 -x7273 -x7272 -x7271 
1.00/1.08	v -x7270 -x7269 -x7268 -x7267 -x7266 -x7265 -x7264 -x7263 -x7262 -x7261 -x7260 -x7259 -x7258 -x7257 -x7256 -x7255 -x7254 -x7253 
1.00/1.08	v -x7252 -x7251 -x7250 -x7249 -x7248 -x7247 -x7246 -x7245 -x7244 -x7243 -x7242 -x7241 -x7240 -x7239 -x7238 -x7237 -x7236 
1.00/1.08	v -x7235 x7234 x7233 x7232 x7231 x7230 x7229 x7228 x7227 x7226 -x7225 -x7224 -x7223 -x7222 -x7221 -x7220 -x7219 -x7218 -x7217 -x7216 
1.00/1.08	v -x7215 -x7214 -x7213 -x7212 -x7211 -x7210 -x7209 -x7208 -x7207 -x7206 -x7205 -x7204 -x7203 -x7202 -x7201 -x7200 -x7199 
1.00/1.08	v -x7198 -x7197 -x7196 -x7195 -x7194 -x7193 -x7192 -x7191 -x7190 -x7189 -x7188 -x7187 -x7186 -x7185 -x7184 -x7183 -x7182 -x7181 
1.00/1.08	v -x7180 -x7179 -x7178 -x7177 -x7176 -x7175 -x7174 -x7173 -x7172 -x7171 -x7170 -x7169 x7168 -x7167 -x7166 -x7165 -x7164 -x7163 
1.00/1.08	v -x7162 -x7161 -x7160 -x7159 -x7158 -x7157 -x7156 -x7155 -x7154 -x7153 -x7152 -x7151 -x7150 -x7149 -x7148 -x7147 -x7146 -x7145 
1.00/1.08	v -x7144 -x7143 -x7142 -x7141 -x7140 -x7139 -x7138 -x7137 -x7136 -x7135 -x7134 -x7133 -x7132 -x7131 -x7130 -x7129 -x7128 -x7127 
1.00/1.08	v -x7126 -x7125 -x7124 -x7123 -x7122 -x7121 -x7120 -x7119 -x7118 -x7117 -x7116 -x7115 -x7114 -x7113 -x7112 -x7111 -x7110 -x7109 
1.00/1.08	v -x7108 -x7107 x7106 x7105 x7104 x7103 x7102 -x7101 -x7100 -x7099 -x7098 -x7097 -x7096 -x7095 -x7094 -x7093 -x7092 -x7091 
1.00/1.08	v -x7090 -x7089 -x7088 -x7087 -x7086 -x7085 -x7084 -x7083 -x7082 -x7081 -x7080 -x7079 -x7078 -x7077 -x7076 -x7075 -x7074 -x7073 
1.00/1.08	v -x7072 -x7071 -x7070 -x7069 -x7068 -x7067 -x7066 -x7065 -x7064 -x7063 -x7062 -x7061 -x7060 -x7059 -x7058 -x7057 -x7056 -x7055 
1.00/1.08	v -x7054 -x7053 -x7052 -x7051 -x7050 -x7049 -x7048 -x7047 -x7046 -x7045 -x7044 -x7043 -x7042 x7041 x7040 x7039 x7038 x7037 -x7036 
1.00/1.08	v -x7035 -x7034 -x7033 -x7032 -x7031 -x7030 -x7029 -x7028 -x7027 -x7026 -x7025 -x7024 -x7023 -x7022 -x7021 -x7020 -x7019 -x7018 
1.00/1.08	v -x7017 -x7016 -x7015 -x7014 -x7013 -x7012 -x7011 -x7010 -x7009 -x7008 -x7007 -x7006 -x7005 -x7004 -x7003 -x7002 -x7001 
1.00/1.08	v -x7000 -x6999 -x6998 -x6997 -x6996 -x6995 -x6994 -x6993 -x6992 -x6991 -x6990 -x6989 -x6988 -x6987 -x6986 -x6985 -x6984 -x6983 
1.00/1.08	v -x6982 -x6981 -x6980 -x6979 -x6978 -x6977 -x6976 -x6975 -x6974 x6973 x6972 x6971 x6970 x6969 x6968 x6967 x6966 x6965 -x6964 
1.00/1.08	v -x6963 -x6962 -x6961 -x6960 -x6959 -x6958 -x6957 -x6956 -x6955 -x6954 -x6953 -x6952 -x6951 -x6950 -x6949 -x6948 -x6947 -x6946 
1.00/1.08	v -x6945 -x6944 -x6943 -x6942 -x6941 -x6940 -x6939 -x6938 -x6937 -x6936 -x6935 -x6934 -x6933 -x6932 -x6931 -x6930 -x6929 -x6928 
1.00/1.08	v -x6927 -x6926 -x6925 -x6924 -x6923 -x6922 -x6921 -x6920 -x6919 -x6918 -x6917 -x6916 -x6915 -x6914 -x6913 -x6912 -x6911 x6910 
1.00/1.08	v x6909 x6908 x6907 x6906 x6905 x6904 x6903 x6902 x6901 -x6900 -x6899 -x6898 -x6897 -x6896 -x6895 -x6894 -x6893 -x6892 -x6891 
1.00/1.08	v -x6890 -x6889 -x6888 -x6887 -x6886 -x6885 -x6884 -x6883 -x6882 -x6881 -x6880 -x6879 -x6878 -x6877 -x6876 -x6875 -x6874 -x6873 
1.00/1.08	v -x6872 -x6871 -x6870 -x6869 -x6868 -x6867 -x6866 -x6865 -x6864 -x6863 -x6862 -x6861 -x6860 -x6859 -x6858 -x6857 -x6856 -x6855 
1.00/1.08	v -x6854 -x6853 -x6852 -x6851 -x6850 -x6849 -x6848 -x6847 -x6846 x6845 -x6844 -x6843 -x6842 -x6841 -x6840 -x6839 -x6838 -x6837 
1.00/1.08	v -x6836 -x6835 -x6834 -x6833 -x6832 -x6831 -x6830 -x6829 -x6828 -x6827 -x6826 -x6825 -x6824 -x6823 -x6822 -x6821 -x6820 -x6819 
1.00/1.08	v -x6818 -x6817 -x6816 -x6815 -x6814 -x6813 -x6812 -x6811 -x6810 -x6809 -x6808 -x6807 -x6806 -x6805 -x6804 -x6803 -x6802 -x6801 
1.00/1.08	v -x6800 -x6799 -x6798 -x6797 -x6796 -x6795 -x6794 -x6793 -x6792 -x6791 -x6790 -x6789 -x6788 -x6787 -x6786 -x6785 -x6784 -x6783 
1.00/1.08	v -x6782 x6781 x6780 -x6779 -x6778 -x6777 -x6776 -x6775 -x6774 -x6773 -x6772 -x6771 -x6770 -x6769 -x6768 -x6767 -x6766 -x6765 
1.00/1.08	v -x6764 -x6763 -x6762 -x6761 -x6760 -x6759 -x6758 -x6757 -x6756 -x6755 -x6754 -x6753 -x6752 -x6751 -x6750 -x6749 -x6748 -x6747 
1.00/1.08	v -x6746 -x6745 -x6744 -x6743 -x6742 -x6741 -x6740 -x6739 -x6738 -x6737 -x6736 -x6735 -x6734 -x6733 -x6732 -x6731 -x6730 
1.00/1.08	v -x6729 -x6728 -x6727 -x6726 -x6725 -x6724 -x6723 -x6722 -x6721 -x6720 -x6719 -x6718 -x6717 -x6716 -x6715 -x6714 -x6713 -x6712 
1.00/1.08	v x6711 x6710 x6709 -x6708 -x6707 -x6706 -x6705 -x6704 -x6703 -x6702 -x6701 -x6700 -x6699 -x6698 -x6697 -x6696 -x6695 -x6694 -x6693 
1.00/1.08	v -x6692 -x6691 -x6690 -x6689 -x6688 -x6687 -x6686 -x6685 -x6684 -x6683 -x6682 -x6681 -x6680 -x6679 -x6678 -x6677 -x6676 
1.00/1.08	v -x6675 -x6674 -x6673 -x6672 -x6671 -x6670 -x6669 -x6668 -x6667 -x6666 -x6665 -x6664 -x6663 -x6662 -x6661 -x6660 -x6659 -x6658 
1.00/1.08	v -x6657 -x6656 -x6655 -x6654 -x6653 -x6652 -x6651 -x6650 -x6649 -x6648 -x6647 -x6646 -x6645 -x6644 -x6643 -x6642 x6641 x6640 
1.00/1.08	v x6639 -x6638 -x6637 -x6636 -x6635 -x6634 -x6633 -x6632 -x6631 -x6630 -x6629 -x6628 -x6627 -x6626 -x6625 -x6624 -x6623 -x6622 
1.00/1.08	v -x6621 -x6620 -x6619 -x6618 -x6617 -x6616 -x6615 -x6614 -x6613 -x6612 -x6611 -x6610 -x6609 -x6608 -x6607 -x6606 -x6605 -x6604 
1.00/1.08	v -x6603 -x6602 -x6601 -x6600 -x6599 -x6598 -x6597 -x6596 -x6595 -x6594 -x6593 -x6592 -x6591 -x6590 -x6589 -x6588 -x6587 x6586 
1.00/1.08	v -x6585 -x6584 -x6583 -x6582 -x6581 -x6580 -x6579 -x6578 -x6577 -x6576 -x6575 -x6574 -x6573 -x6572 -x6571 -x6570 -x6569 -x6568 
1.00/1.08	v -x6567 -x6566 -x6565 -x6564 -x6563 -x6562 -x6561 -x6560 -x6559 -x6558 -x6557 -x6556 -x6555 -x6554 -x6553 -x6552 -x6551 -x6550 
1.00/1.08	v -x6549 -x6548 -x6547 -x6546 -x6545 -x6544 -x6543 -x6542 -x6541 -x6540 -x6539 -x6538 -x6537 -x6536 -x6535 -x6534 -x6533 -x6532 
1.00/1.08	v -x6531 -x6530 -x6529 -x6528 -x6527 -x6526 -x6525 -x6524 -x6523 x6522 x6521 -x6520 -x6519 -x6518 -x6517 -x6516 -x6515 -x6514 
1.00/1.08	v -x6513 -x6512 -x6511 -x6510 -x6509 -x6508 -x6507 -x6506 -x6505 -x6504 -x6503 -x6502 -x6501 -x6500 -x6499 -x6498 -x6497 -x6496 
1.00/1.08	v -x6495 -x6494 -x6493 -x6492 -x6491 -x6490 -x6489 -x6488 -x6487 -x6486 -x6485 -x6484 -x6483 -x6482 -x6481 -x6480 -x6479 -x6478 
1.00/1.08	v -x6477 -x6476 -x6475 -x6474 -x6473 -x6472 -x6471 -x6470 -x6469 -x6468 -x6467 x6466 x6465 x6464 x6463 x6462 x6461 x6460 -x6459 
1.00/1.08	v -x6458 -x6457 -x6456 -x6455 -x6454 -x6453 -x6452 -x6451 -x6450 -x6449 -x6448 -x6447 -x6446 -x6445 -x6444 -x6443 -x6442 
1.00/1.08	v -x6441 -x6440 -x6439 -x6438 -x6437 -x6436 -x6435 -x6434 -x6433 -x6432 -x6431 -x6430 -x6429 -x6428 -x6427 -x6426 -x6425 -x6424 
1.00/1.08	v -x6423 -x6422 -x6421 -x6420 -x6419 -x6418 -x6417 -x6416 -x6415 -x6414 -x6413 -x6412 -x6411 -x6410 -x6409 -x6408 -x6407 -x6406 
1.00/1.08	v -x6405 -x6404 -x6403 -x6402 x6401 x6400 x6399 x6398 -x6397 -x6396 -x6395 -x6394 -x6393 -x6392 -x6391 -x6390 -x6389 -x6388 -x6387 
1.00/1.08	v -x6386 -x6385 -x6384 -x6383 -x6382 -x6381 -x6380 -x6379 -x6378 -x6377 -x6376 -x6375 -x6374 -x6373 -x6372 -x6371 -x6370 
1.00/1.08	v -x6369 -x6368 -x6367 -x6366 -x6365 -x6364 -x6363 -x6362 -x6361 -x6360 -x6359 -x6358 -x6357 -x6356 -x6355 -x6354 -x6353 -x6352 
1.00/1.08	v -x6351 -x6350 -x6349 -x6348 -x6347 -x6346 -x6345 -x6344 -x6343 -x6342 -x6341 -x6340 -x6339 -x6338 -x6337 -x6336 -x6335 -x6334 
1.00/1.08	v -x6333 -x6332 x6331 x6330 x6329 x6328 x6327 x6326 x6325 x6324 -x6323 -x6322 -x6321 -x6320 -x6319 -x6318 -x6317 -x6316 -x6315 
1.00/1.08	v -x6314 -x6313 -x6312 -x6311 -x6310 -x6309 -x6308 -x6307 -x6306 -x6305 -x6304 -x6303 -x6302 -x6301 -x6300 -x6299 -x6298 -x6297 
1.00/1.08	v -x6296 -x6295 -x6294 -x6293 -x6292 -x6291 -x6290 -x6289 -x6288 -x6287 -x6286 -x6285 -x6284 -x6283 -x6282 -x6281 -x6280 -x6279 
1.00/1.08	v -x6278 -x6277 -x6276 -x6275 -x6274 -x6273 -x6272 -x6271 -x6270 -x6269 -x6268 x6267 x6266 x6265 x6264 x6263 x6262 x6261 -x6260 
1.00/1.08	v -x6259 -x6258 -x6257 -x6256 -x6255 -x6254 -x6253 -x6252 -x6251 -x6250 -x6249 -x6248 -x6247 -x6246 -x6245 -x6244 -x6243 -x6242 
1.00/1.08	v -x6241 -x6240 -x6239 -x6238 -x6237 -x6236 -x6235 -x6234 -x6233 -x6232 -x6231 -x6230 -x6229 -x6228 -x6227 -x6226 -x6225 
1.00/1.08	v -x6224 -x6223 -x6222 -x6221 -x6220 -x6219 -x6218 -x6217 -x6216 -x6215 -x6214 -x6213 -x6212 -x6211 -x6210 -x6209 -x6208 -x6207 
1.00/1.08	v -x6206 -x6205 -x6204 -x6203 -x6202 -x6201 x6200 x6199 x6198 x6197 x6196 x6195 -x6194 -x6193 -x6192 -x6191 -x6190 -x6189 -x6188 
1.00/1.08	v -x6187 -x6186 -x6185 -x6184 -x6183 -x6182 -x6181 -x6180 -x6179 -x6178 -x6177 -x6176 -x6175 -x6174 -x6173 -x6172 -x6171 -x6170 
1.00/1.08	v -x6169 -x6168 -x6167 -x6166 -x6165 -x6164 -x6163 -x6162 -x6161 -x6160 -x6159 -x6158 -x6157 -x6156 -x6155 -x6154 -x6153 -x6152 
1.00/1.08	v -x6151 -x6150 -x6149 -x6148 -x6147 -x6146 -x6145 -x6144 -x6143 -x6142 -x6141 -x6140 -x6139 -x6138 -x6137 -x6136 -x6135 -x6134 
1.00/1.08	v -x6133 -x6132 -x6131 x6130 x6129 x6128 x6127 x6126 x6125 x6124 x6123 x6122 -x6121 -x6120 -x6119 -x6118 -x6117 -x6116 -x6115 
1.00/1.08	v -x6114 -x6113 -x6112 -x6111 -x6110 -x6109 -x6108 -x6107 -x6106 -x6105 -x6104 -x6103 -x6102 -x6101 -x6100 -x6099 x6098 x6097 
1.00/1.08	v x6096 x6095 x6094 -x6093 -x6092 -x6091 -x6090 -x6089 -x6088 -x6087 -x6086 -x6085 -x6084 -x6083 -x6082 -x6081 -x6080 -x6079 
1.00/1.08	v -x6078 -x6077 -x6076 -x6075 -x6074 -x6073 -x6072 -x6071 -x6070 -x6069 -x6068 -x6067 -x6066 -x6065 -x6064 -x6063 -x6062 -x6061 
1.00/1.08	v -x6060 -x6059 -x6058 -x6057 -x6056 -x6055 -x6054 -x6053 -x6052 -x6051 -x6050 -x6049 -x6048 -x6047 -x6046 -x6045 -x6044 -x6043 
1.00/1.08	v -x6042 -x6041 -x6040 -x6039 -x6038 -x6037 -x6036 -x6035 -x6034 -x6033 -x6032 -x6031 -x6030 -x6029 -x6028 -x6027 -x6026 -x6025 
1.00/1.08	v -x6024 -x6023 -x6022 -x6021 -x6020 -x6019 x6018 x6017 x6016 x6015 x6014 x6013 x6012 x6011 x6010 x6009 -x6008 -x6007 -x6006 
1.00/1.08	v -x6005 -x6004 -x6003 -x6002 -x6001 -x6000 -x5999 -x5998 -x5997 -x5996 -x5995 -x5994 -x5993 -x5992 -x5991 -x5990 -x5989 -x5988 
1.00/1.08	v -x5987 -x5986 -x5985 -x5984 -x5983 -x5982 -x5981 -x5980 -x5979 -x5978 -x5977 -x5976 -x5975 -x5974 -x5973 -x5972 -x5971 -x5970 
1.00/1.08	v -x5969 -x5968 -x5967 -x5966 -x5965 -x5964 -x5963 -x5962 -x5961 -x5960 -x5959 -x5958 -x5957 -x5956 -x5955 -x5954 x5953 x5952 
1.00/1.08	v x5951 x5950 -x5949 -x5948 -x5947 -x5946 -x5945 -x5944 -x5943 -x5942 -x5941 -x5940 -x5939 -x5938 -x5937 -x5936 -x5935 -x5934 
1.00/1.08	v -x5933 -x5932 -x5931 -x5930 -x5929 -x5928 -x5927 -x5926 -x5925 -x5924 -x5923 -x5922 -x5921 -x5920 -x5919 -x5918 -x5917 -x5916 
1.00/1.08	v -x5915 -x5914 -x5913 -x5912 -x5911 -x5910 -x5909 -x5908 -x5907 -x5906 -x5905 -x5904 -x5903 -x5902 -x5901 -x5900 -x5899 -x5898 
1.00/1.08	v -x5897 -x5896 -x5895 -x5894 -x5893 x5892 x5891 x5890 x5889 -x5888 -x5887 -x5886 -x5885 -x5884 -x5883 -x5882 -x5881 -x5880 
1.00/1.08	v -x5879 -x5878 -x5877 -x5876 -x5875 -x5874 -x5873 -x5872 -x5871 -x5870 -x5869 -x5868 -x5867 -x5866 -x5865 -x5864 -x5863 -x5862 
1.00/1.08	v -x5861 -x5860 -x5859 -x5858 -x5857 -x5856 -x5855 -x5854 -x5853 -x5852 -x5851 -x5850 -x5849 -x5848 -x5847 -x5846 -x5845 -x5844 
1.00/1.08	v -x5843 -x5842 -x5841 -x5840 -x5839 -x5838 -x5837 -x5836 -x5835 -x5834 -x5833 -x5832 -x5831 -x5830 -x5829 -x5828 -x5827 -x5826 
1.00/1.08	v -x5825 -x5824 -x5823 -x5822 -x5821 -x5820 x5819 x5818 x5817 x5816 x5815 x5814 -x5813 -x5812 -x5811 -x5810 -x5809 -x5808 
1.00/1.08	v -x5807 -x5806 -x5805 -x5804 -x5803 -x5802 -x5801 -x5800 -x5799 -x5798 -x5797 -x5796 -x5795 -x5794 -x5793 -x5792 -x5791 -x5790 
1.00/1.08	v -x5789 -x5788 -x5787 -x5786 -x5785 -x5784 -x5783 -x5782 -x5781 -x5780 -x5779 x5778 x5777 x5776 x5775 x5774 x5773 x5772 x5771 
1.00/1.08	v x5770 x5769 -x5768 -x5767 -x5766 -x5765 -x5764 -x5763 -x5762 -x5761 -x5760 -x5759 -x5758 -x5757 -x5756 -x5755 -x5754 -x5753 
1.00/1.08	v -x5752 -x5751 -x5750 -x5749 -x5748 -x5747 -x5746 -x5745 -x5744 -x5743 -x5742 -x5741 -x5740 -x5739 -x5738 -x5737 -x5736 -x5735 
1.00/1.08	v -x5734 -x5733 -x5732 -x5731 -x5730 -x5729 -x5728 -x5727 -x5726 -x5725 -x5724 -x5723 -x5722 -x5721 -x5720 -x5719 -x5718 -x5717 
1.00/1.08	v -x5716 -x5715 -x5714 -x5713 -x5712 -x5711 -x5710 -x5709 -x5708 -x5707 -x5706 -x5705 -x5704 -x5703 -x5702 -x5701 -x5700 -x5699 
1.00/1.08	v -x5698 -x5697 -x5696 -x5695 -x5694 -x5693 -x5692 -x5691 -x5690 -x5689 -x5688 x5687 x5686 x5685 x5684 x5683 x5682 -x5681 -x5680 
1.00/1.08	v -x5679 -x5678 -x5677 -x5676 -x5675 -x5674 -x5673 -x5672 -x5671 -x5670 -x5669 -x5668 -x5667 -x5666 -x5665 -x5664 -x5663 -x5662 
1.00/1.08	v -x5661 -x5660 -x5659 -x5658 -x5657 -x5656 -x5655 -x5654 -x5653 -x5652 -x5651 -x5650 -x5649 -x5648 -x5647 -x5646 -x5645 
1.00/1.08	v -x5644 -x5643 -x5642 -x5641 -x5640 -x5639 -x5638 -x5637 -x5636 -x5635 x5634 x5633 x5632 x5631 x5630 x5629 -x5628 -x5627 -x5626 
1.00/1.08	v -x5625 -x5624 -x5623 -x5622 -x5621 -x5620 -x5619 -x5618 -x5617 -x5616 -x5615 -x5614 -x5613 -x5612 -x5611 -x5610 -x5609 -x5608 
1.00/1.08	v -x5607 -x5606 -x5605 -x5604 -x5603 -x5602 -x5601 -x5600 -x5599 -x5598 -x5597 -x5596 -x5595 -x5594 -x5593 -x5592 -x5591 -x5590 
1.00/1.08	v -x5589 -x5588 -x5587 -x5586 -x5585 -x5584 -x5583 -x5582 -x5581 -x5580 -x5579 x5578 x5577 x5576 x5575 x5574 -x5573 -x5572 
1.00/1.08	v -x5571 -x5570 -x5569 -x5568 -x5567 -x5566 -x5565 -x5564 -x5563 -x5562 -x5561 -x5560 -x5559 -x5558 -x5557 -x5556 -x5555 -x5554 
1.00/1.08	v -x5553 -x5552 -x5551 -x5550 -x5549 -x5548 -x5547 -x5546 -x5545 -x5544 -x5543 -x5542 -x5541 -x5540 -x5539 -x5538 -x5537 -x5536 
1.00/1.08	v -x5535 -x5534 -x5533 -x5532 -x5531 -x5530 -x5529 -x5528 x5527 x5526 x5525 x5524 x5523 x5522 x5521 x5520 x5519 -x5518 -x5517 
1.00/1.08	v -x5516 -x5515 -x5514 -x5513 -x5512 -x5511 -x5510 -x5509 -x5508 -x5507 -x5506 -x5505 -x5504 -x5503 -x5502 -x5501 -x5500 -x5499 
1.00/1.08	v -x5498 -x5497 -x5496 -x5495 -x5494 -x5493 -x5492 -x5491 -x5490 -x5489 -x5488 -x5487 -x5486 -x5485 -x5484 -x5483 -x5482 -x5481 
1.00/1.08	v -x5480 -x5479 -x5478 -x5477 -x5476 -x5475 -x5474 -x5473 -x5472 -x5471 -x5470 -x5469 -x5468 -x5467 -x5466 -x5465 -x5464 -x5463 
1.00/1.08	v -x5462 -x5461 -x5460 -x5459 -x5458 -x5457 -x5456 -x5455 -x5454 -x5453 -x5452 -x5451 -x5450 -x5449 -x5448 -x5447 -x5446 -x5445 
1.00/1.08	v -x5444 -x5443 -x5442 -x5441 -x5440 -x5439 -x5438 -x5437 -x5436 -x5435 -x5434 -x5433 -x5432 x5431 -x5430 -x5429 -x5428 -x5427 
1.00/1.08	v -x5426 -x5425 -x5424 -x5423 -x5422 -x5421 -x5420 -x5419 -x5418 -x5417 -x5416 -x5415 -x5414 -x5413 -x5412 -x5411 -x5410 -x5409 
1.00/1.08	v -x5408 -x5407 -x5406 -x5405 -x5404 -x5403 -x5402 -x5401 -x5400 -x5399 -x5398 -x5397 -x5396 -x5395 -x5394 -x5393 -x5392 
1.00/1.08	v -x5391 -x5390 -x5389 -x5388 -x5387 -x5386 -x5385 -x5384 -x5383 -x5382 -x5381 -x5380 -x5379 -x5378 -x5377 x5376 x5375 x5374 x5373 
1.00/1.08	v x5372 x5371 x5370 -x5369 -x5368 -x5367 -x5366 -x5365 -x5364 -x5363 -x5362 -x5361 -x5360 -x5359 -x5358 -x5357 -x5356 -x5355 
1.00/1.08	v -x5354 -x5353 -x5352 -x5351 -x5350 -x5349 -x5348 -x5347 -x5346 -x5345 -x5344 -x5343 -x5342 -x5341 -x5340 -x5339 -x5338 -x5337 
1.00/1.08	v -x5336 -x5335 -x5334 -x5333 -x5332 -x5331 -x5330 -x5329 -x5328 -x5327 -x5326 -x5325 -x5324 -x5323 -x5322 x5321 x5320 x5319 
1.00/1.08	v x5318 x5317 x5316 x5315 x5314 x5313 -x5312 -x5311 -x5310 -x5309 -x5308 -x5307 -x5306 -x5305 -x5304 -x5303 -x5302 -x5301 -x5300 
1.00/1.08	v -x5299 -x5298 -x5297 -x5296 -x5295 -x5294 -x5293 -x5292 -x5291 -x5290 -x5289 -x5288 -x5287 -x5286 -x5285 -x5284 -x5283 -x5282 
1.00/1.08	v -x5281 -x5280 -x5279 -x5278 -x5277 -x5276 -x5275 -x5274 -x5273 -x5272 -x5271 -x5270 -x5269 -x5268 -x5267 -x5266 -x5265 -x5264 
1.00/1.08	v -x5263 -x5262 -x5261 -x5260 -x5259 -x5258 -x5257 -x5256 -x5255 -x5254 -x5253 -x5252 -x5251 -x5250 x5249 x5248 x5247 x5246 
1.00/1.08	v -x5245 -x5244 -x5243 -x5242 -x5241 -x5240 -x5239 -x5238 -x5237 -x5236 -x5235 -x5234 -x5233 -x5232 -x5231 -x5230 -x5229 -x5228 
1.00/1.08	v -x5227 -x5226 -x5225 -x5224 -x5223 -x5222 -x5221 -x5220 -x5219 -x5218 -x5217 -x5216 -x5215 -x5214 -x5213 -x5212 -x5211 -x5210 
1.00/1.08	v -x5209 -x5208 -x5207 -x5206 -x5205 -x5204 -x5203 -x5202 -x5201 -x5200 -x5199 -x5198 -x5197 -x5196 -x5195 -x5194 -x5193 -x5192 
1.00/1.08	v -x5191 -x5190 x5189 x5188 x5187 x5186 x5185 x5184 x5183 x5182 -x5181 -x5180 -x5179 -x5178 -x5177 -x5176 -x5175 -x5174 -x5173 
1.00/1.08	v -x5172 -x5171 -x5170 -x5169 -x5168 -x5167 -x5166 -x5165 -x5164 -x5163 -x5162 -x5161 -x5160 -x5159 -x5158 -x5157 -x5156 -x5155 
1.00/1.08	v -x5154 -x5153 -x5152 -x5151 -x5150 -x5149 -x5148 -x5147 -x5146 -x5145 -x5144 -x5143 -x5142 -x5141 -x5140 -x5139 -x5138 
1.00/1.08	v -x5137 -x5136 -x5135 -x5134 -x5133 -x5132 -x5131 -x5130 -x5129 -x5128 -x5127 -x5126 -x5125 -x5124 x5123 x5122 x5121 x5120 x5119 
1.00/1.08	v x5118 -x5117 -x5116 -x5115 -x5114 -x5113 -x5112 -x5111 -x5110 -x5109 -x5108 -x5107 -x5106 -x5105 -x5104 -x5103 -x5102 -x5101 
1.00/1.08	v -x5100 -x5099 -x5098 -x5097 -x5096 -x5095 -x5094 -x5093 -x5092 -x5091 -x5090 -x5089 -x5088 -x5087 -x5086 -x5085 -x5084 -x5083 
1.00/1.08	v -x5082 -x5081 -x5080 -x5079 -x5078 -x5077 -x5076 -x5075 -x5074 -x5073 -x5072 -x5071 -x5070 -x5069 -x5068 -x5067 -x5066 -x5065 
1.00/1.08	v -x5064 -x5063 -x5062 -x5061 -x5060 -x5059 -x5058 -x5057 -x5056 -x5055 x5054 x5053 x5052 x5051 -x5050 -x5049 -x5048 -x5047 
1.00/1.08	v -x5046 -x5045 -x5044 -x5043 -x5042 -x5041 -x5040 -x5039 -x5038 -x5037 -x5036 -x5035 -x5034 -x5033 -x5032 -x5031 -x5030 -x5029 
1.00/1.08	v -x5028 -x5027 -x5026 -x5025 -x5024 -x5023 -x5022 -x5021 -x5020 -x5019 -x5018 -x5017 -x5016 -x5015 -x5014 -x5013 x5012 x5011 
1.00/1.08	v -x5010 -x5009 -x5008 -x5007 -x5006 -x5005 -x5004 -x5003 -x5002 -x5001 -x5000 -x4999 -x4998 -x4997 -x4996 -x4995 -x4994 -x4993 
1.00/1.08	v -x4992 -x4991 -x4990 -x4989 -x4988 -x4987 -x4986 -x4985 -x4984 -x4983 -x4982 -x4981 -x4980 -x4979 -x4978 -x4977 -x4976 -x4975 
1.00/1.08	v -x4974 -x4973 -x4972 -x4971 -x4970 -x4969 -x4968 -x4967 -x4966 -x4965 -x4964 -x4963 -x4962 -x4961 -x4960 -x4959 -x4958 -x4957 
1.00/1.08	v -x4956 -x4955 -x4954 -x4953 -x4952 -x4951 -x4950 -x4949 -x4948 -x4947 -x4946 -x4945 -x4944 x4943 x4942 x4941 x4940 x4939 
1.00/1.08	v x4938 x4937 -x4936 -x4935 -x4934 -x4933 -x4932 -x4931 -x4930 -x4929 -x4928 -x4927 -x4926 -x4925 -x4924 -x4923 -x4922 -x4921 
1.00/1.08	v -x4920 -x4919 -x4918 -x4917 -x4916 -x4915 -x4914 -x4913 -x4912 -x4911 -x4910 -x4909 -x4908 -x4907 -x4906 -x4905 -x4904 -x4903 
1.00/1.08	v -x4902 -x4901 -x4900 -x4899 -x4898 -x4897 -x4896 -x4895 -x4894 -x4893 -x4892 -x4891 -x4890 -x4889 -x4888 -x4887 -x4886 -x4885 
1.00/1.08	v -x4884 -x4883 -x4882 -x4881 -x4880 -x4879 -x4878 -x4877 -x4876 -x4875 -x4874 -x4873 -x4872 -x4871 -x4870 -x4869 -x4868 -x4867 
1.00/1.08	v x4866 x4865 x4864 x4863 x4862 x4861 -x4860 -x4859 -x4858 -x4857 -x4856 -x4855 -x4854 -x4853 -x4852 -x4851 -x4850 -x4849 -x4848 
1.00/1.08	v -x4847 -x4846 -x4845 -x4844 -x4843 -x4842 -x4841 -x4840 -x4839 -x4838 -x4837 -x4836 -x4835 -x4834 -x4833 -x4832 -x4831 -x4830 
1.00/1.08	v -x4829 -x4828 -x4827 -x4826 -x4825 -x4824 -x4823 -x4822 -x4821 -x4820 -x4819 -x4818 -x4817 -x4816 -x4815 -x4814 -x4813 
1.00/1.08	v -x4812 -x4811 -x4810 -x4809 -x4808 -x4807 -x4806 -x4805 -x4804 -x4803 x4802 x4801 x4800 -x4799 -x4798 -x4797 -x4796 -x4795 -x4794 
1.00/1.08	v -x4793 -x4792 -x4791 -x4790 -x4789 -x4788 -x4787 -x4786 -x4785 -x4784 -x4783 -x4782 -x4781 -x4780 -x4779 -x4778 -x4777 -x4776 
1.00/1.08	v -x4775 -x4774 -x4773 -x4772 -x4771 -x4770 -x4769 -x4768 -x4767 -x4766 -x4765 -x4764 -x4763 -x4762 -x4761 -x4760 -x4759 
1.00/1.08	v -x4758 -x4757 -x4756 -x4755 -x4754 -x4753 -x4752 -x4751 -x4750 -x4749 -x4748 -x4747 -x4746 -x4745 -x4744 -x4743 -x4742 -x4741 
1.00/1.08	v -x4740 -x4739 -x4738 x4737 x4736 x4735 -x4734 -x4733 -x4732 -x4731 -x4730 -x4729 -x4728 -x4727 -x4726 -x4725 -x4724 -x4723 -x4722 
1.00/1.08	v -x4721 -x4720 -x4719 -x4718 -x4717 -x4716 -x4715 -x4714 -x4713 -x4712 -x4711 -x4710 -x4709 -x4708 -x4707 -x4706 -x4705 
1.00/1.08	v -x4704 -x4703 -x4702 -x4701 -x4700 -x4699 -x4698 -x4697 -x4696 -x4695 -x4694 -x4693 -x4692 -x4691 -x4690 -x4689 -x4688 -x4687 
1.00/1.08	v -x4686 -x4685 -x4684 -x4683 x4682 x4681 x4680 x4679 -x4678 -x4677 -x4676 -x4675 -x4674 -x4673 -x4672 -x4671 -x4670 -x4669 -x4668 
1.00/1.08	v -x4667 -x4666 -x4665 -x4664 -x4663 -x4662 -x4661 -x4660 -x4659 -x4658 -x4657 -x4656 -x4655 -x4654 -x4653 -x4652 -x4651 -x4650 
1.00/1.08	v -x4649 -x4648 -x4647 -x4646 -x4645 -x4644 -x4643 -x4642 -x4641 -x4640 -x4639 -x4638 -x4637 -x4636 -x4635 -x4634 -x4633 
1.00/1.08	v -x4632 -x4631 -x4630 -x4629 -x4628 -x4627 -x4626 -x4625 -x4624 -x4623 -x4622 -x4621 -x4620 -x4619 -x4618 -x4617 -x4616 -x4615 
1.00/1.08	v -x4614 -x4613 -x4612 x4611 x4610 x4609 x4608 x4607 x4606 x4605 x4604 -x4603 -x4602 -x4601 -x4600 -x4599 -x4598 -x4597 -x4596 
1.00/1.08	v -x4595 -x4594 -x4593 -x4592 -x4591 -x4590 -x4589 -x4588 -x4587 -x4586 -x4585 -x4584 -x4583 -x4582 -x4581 -x4580 -x4579 -x4578 
1.00/1.08	v -x4577 -x4576 -x4575 -x4574 -x4573 -x4572 -x4571 -x4570 -x4569 -x4568 -x4567 -x4566 -x4565 -x4564 -x4563 -x4562 -x4561 -x4560 
1.00/1.08	v -x4559 -x4558 -x4557 -x4556 -x4555 -x4554 -x4553 -x4552 -x4551 -x4550 -x4549 -x4548 x4547 x4546 x4545 x4544 x4543 x4542 x4541 
1.00/1.08	v x4540 -x4539 -x4538 -x4537 -x4536 -x4535 -x4534 -x4533 -x4532 -x4531 -x4530 -x4529 -x4528 -x4527 -x4526 -x4525 -x4524 -x4523 
1.00/1.08	v -x4522 -x4521 -x4520 -x4519 -x4518 -x4517 -x4516 -x4515 -x4514 -x4513 -x4512 -x4511 -x4510 -x4509 -x4508 -x4507 -x4506 -x4505 
1.00/1.08	v -x4504 -x4503 -x4502 -x4501 -x4500 -x4499 -x4498 -x4497 -x4496 -x4495 -x4494 -x4493 -x4492 -x4491 -x4490 -x4489 -x4488 x4487 
1.00/1.08	v x4486 x4485 -x4484 -x4483 -x4482 -x4481 -x4480 -x4479 -x4478 -x4477 -x4476 -x4475 -x4474 -x4473 -x4472 -x4471 -x4470 -x4469 
1.00/1.08	v -x4468 -x4467 -x4466 -x4465 -x4464 -x4463 -x4462 -x4461 -x4460 -x4459 -x4458 -x4457 -x4456 -x4455 -x4454 -x4453 -x4452 -x4451 
1.00/1.08	v -x4450 -x4449 -x4448 -x4447 -x4446 -x4445 -x4444 -x4443 -x4442 -x4441 -x4440 -x4439 -x4438 -x4437 -x4436 -x4435 -x4434 -x4433 
1.00/1.08	v -x4432 -x4431 -x4430 -x4429 -x4428 -x4427 -x4426 -x4425 -x4424 -x4423 -x4422 -x4421 x4420 -x4419 -x4418 -x4417 -x4416 -x4415 
1.00/1.08	v -x4414 -x4413 -x4412 -x4411 -x4410 -x4409 -x4408 -x4407 -x4406 -x4405 -x4404 -x4403 -x4402 -x4401 -x4400 -x4399 -x4398 
1.00/1.08	v -x4397 -x4396 -x4395 -x4394 -x4393 -x4392 -x4391 -x4390 -x4389 -x4388 -x4387 -x4386 -x4385 -x4384 -x4383 -x4382 -x4381 -x4380 
1.00/1.08	v -x4379 -x4378 -x4377 -x4376 -x4375 -x4374 -x4373 -x4372 -x4371 -x4370 -x4369 -x4368 -x4367 -x4366 -x4365 -x4364 x4363 -x4362 
1.00/1.08	v -x4361 -x4360 -x4359 -x4358 -x4357 -x4356 -x4355 -x4354 -x4353 -x4352 -x4351 -x4350 -x4349 -x4348 -x4347 -x4346 -x4345 -x4344 
1.00/1.08	v -x4343 -x4342 -x4341 -x4340 -x4339 -x4338 -x4337 -x4336 -x4335 -x4334 -x4333 -x4332 -x4331 -x4330 -x4329 -x4328 -x4327 -x4326 
1.00/1.08	v -x4325 -x4324 -x4323 -x4322 -x4321 -x4320 -x4319 -x4318 -x4317 -x4316 -x4315 -x4314 -x4313 -x4312 -x4311 -x4310 -x4309 -x4308 
1.00/1.08	v -x4307 -x4306 -x4305 -x4304 -x4303 -x4302 -x4301 -x4300 x4299 x4298 x4297 x4296 -x4295 -x4294 -x4293 -x4292 -x4291 -x4290 
1.00/1.08	v -x4289 -x4288 -x4287 -x4286 -x4285 -x4284 -x4283 -x4282 -x4281 -x4280 -x4279 -x4278 -x4277 -x4276 -x4275 -x4274 -x4273 -x4272 
1.00/1.08	v -x4271 -x4270 -x4269 -x4268 -x4267 -x4266 -x4265 -x4264 -x4263 -x4262 -x4261 -x4260 -x4259 -x4258 -x4257 -x4256 -x4255 -x4254 
1.00/1.08	v -x4253 -x4252 -x4251 -x4250 -x4249 -x4248 -x4247 -x4246 -x4245 -x4244 -x4243 -x4242 -x4241 -x4240 -x4239 -x4238 -x4237 -x4236 
1.00/1.08	v -x4235 -x4234 -x4233 -x4232 -x4231 x4230 x4229 x4228 x4227 x4226 x4225 -x4224 -x4223 -x4222 -x4221 -x4220 -x4219 -x4218 -x4217 
1.00/1.08	v -x4216 -x4215 -x4214 -x4213 -x4212 -x4211 -x4210 -x4209 -x4208 -x4207 -x4206 -x4205 -x4204 -x4203 -x4202 -x4201 -x4200 
1.00/1.08	v -x4199 -x4198 -x4197 -x4196 -x4195 -x4194 -x4193 -x4192 -x4191 -x4190 -x4189 -x4188 -x4187 -x4186 -x4185 -x4184 -x4183 -x4182 
1.00/1.08	v -x4181 -x4180 -x4179 -x4178 -x4177 -x4176 -x4175 -x4174 -x4173 -x4172 -x4171 -x4170 x4169 x4168 x4167 x4166 x4165 x4164 x4163 
1.00/1.08	v x4162 x4161 x4160 -x4159 -x4158 -x4157 -x4156 -x4155 -x4154 -x4153 -x4152 -x4151 -x4150 -x4149 -x4148 -x4147 -x4146 -x4145 
1.00/1.08	v -x4144 -x4143 -x4142 -x4141 -x4140 -x4139 -x4138 -x4137 -x4136 -x4135 -x4134 -x4133 -x4132 -x4131 -x4130 -x4129 -x4128 -x4127 
1.00/1.08	v -x4126 -x4125 -x4124 -x4123 -x4122 -x4121 -x4120 -x4119 -x4118 -x4117 -x4116 -x4115 -x4114 -x4113 -x4112 -x4111 -x4110 -x4109 
1.00/1.08	v -x4108 -x4107 -x4106 -x4105 -x4104 -x4103 -x4102 -x4101 -x4100 x4099 x4098 x4097 -x4096 -x4095 -x4094 -x4093 -x4092 -x4091 
1.00/1.08	v -x4090 -x4089 -x4088 -x4087 -x4086 -x4085 -x4084 -x4083 -x4082 -x4081 -x4080 -x4079 -x4078 -x4077 -x4076 -x4075 -x4074 -x4073 
1.00/1.08	v -x4072 -x4071 -x4070 -x4069 -x4068 -x4067 -x4066 -x4065 -x4064 -x4063 -x4062 -x4061 -x4060 -x4059 -x4058 -x4057 -x4056 -x4055 
1.00/1.08	v -x4054 -x4053 -x4052 -x4051 -x4050 -x4049 -x4048 -x4047 -x4046 -x4045 -x4044 -x4043 -x4042 -x4041 -x4040 -x4039 -x4038 x4037 
1.00/1.08	v -x4036 -x4035 -x4034 -x4033 -x4032 -x4031 -x4030 -x4029 -x4028 -x4027 -x4026 -x4025 -x4024 -x4023 -x4022 -x4021 -x4020 -x4019 
1.00/1.08	v -x4018 -x4017 -x4016 -x4015 -x4014 -x4013 -x4012 -x4011 -x4010 -x4009 -x4008 -x4007 -x4006 -x4005 -x4004 -x4003 -x4002 -x4001 
1.00/1.08	v -x4000 -x3999 -x3998 -x3997 -x3996 -x3995 -x3994 -x3993 -x3992 -x3991 -x3990 -x3989 -x3988 -x3987 -x3986 -x3985 -x3984 -x3983 
1.00/1.08	v -x3982 -x3981 -x3980 -x3979 -x3978 -x3977 -x3976 -x3975 -x3974 -x3973 x3972 x3971 x3970 -x3969 -x3968 -x3967 -x3966 -x3965 
1.00/1.08	v -x3964 -x3963 -x3962 -x3961 -x3960 -x3959 -x3958 -x3957 -x3956 -x3955 -x3954 -x3953 -x3952 -x3951 -x3950 -x3949 -x3948 -x3947 
1.00/1.08	v -x3946 -x3945 -x3944 -x3943 -x3942 -x3941 -x3940 -x3939 -x3938 -x3937 -x3936 -x3935 -x3934 -x3933 -x3932 -x3931 -x3930 -x3929 
1.00/1.08	v -x3928 -x3927 -x3926 -x3925 -x3924 -x3923 -x3922 -x3921 -x3920 -x3919 -x3918 -x3917 -x3916 -x3915 -x3914 -x3913 -x3912 -x3911 
1.00/1.08	v -x3910 -x3909 -x3908 x3907 -x3906 -x3905 -x3904 -x3903 -x3902 -x3901 -x3900 -x3899 -x3898 -x3897 -x3896 -x3895 -x3894 -x3893 
1.00/1.08	v -x3892 -x3891 -x3890 -x3889 -x3888 -x3887 -x3886 -x3885 -x3884 -x3883 -x3882 -x3881 -x3880 -x3879 -x3878 -x3877 -x3876 
1.00/1.08	v -x3875 -x3874 -x3873 -x3872 -x3871 -x3870 -x3869 -x3868 -x3867 -x3866 -x3865 -x3864 -x3863 -x3862 -x3861 -x3860 -x3859 -x3858 
1.00/1.08	v -x3857 -x3856 -x3855 -x3854 -x3853 -x3852 -x3851 -x3850 -x3849 -x3848 x3847 x3846 x3845 x3844 -x3842 -x3841 -x3840 -x3839 -x3838 
1.00/1.08	v -x3837 -x3836 -x3835 -x3834 -x3833 -x3832 -x3831 -x3830 -x3829 -x3828 -x3827 -x3826 -x3825 -x3824 -x3823 -x3822 -x3821 -x3820 
1.00/1.08	v -x3819 -x3818 -x3817 -x3816 -x3815 -x3814 -x3813 -x3812 -x3811 -x3810 -x3809 -x3808 -x3807 -x3806 -x3805 -x3804 -x3803 
1.00/1.08	v -x3802 -x3801 -x3800 -x3799 -x3798 -x3797 -x3796 -x3795 -x3794 -x3793 -x3792 -x3791 -x3790 -x3789 -x3788 -x3787 -x3786 -x3785 
1.00/1.08	v -x3784 -x3783 -x3782 -x3781 x3780 x3779 x3778 x3777 x3776 x3775 -x3774 -x3773 -x3772 -x3771 -x3770 -x3769 -x3768 -x3767 -x3766 
1.00/1.08	v -x3765 -x3764 -x3763 -x3762 -x3761 -x3760 -x3759 -x3758 -x3757 -x3756 -x3755 -x3754 -x3753 -x3752 -x3751 -x3750 -x3749 -x3748 
1.00/1.08	v -x3747 -x3746 -x3745 -x3744 -x3743 -x3742 -x3741 -x3740 -x3739 -x3738 -x3737 -x3736 -x3735 -x3734 -x3733 -x3732 -x3731 -x3730 
1.00/1.08	v -x3729 -x3728 -x3727 -x3726 -x3725 -x3724 -x3723 -x3722 -x3721 -x3720 -x3719 -x3718 x3717 x3716 x3715 x3714 x3713 x3712 
1.00/1.08	v x3711 x3710 x3709 -x3708 -x3707 -x3706 -x3705 -x3704 -x3703 -x3702 -x3701 -x3700 -x3699 -x3698 -x3697 -x3696 -x3695 -x3694 -x3693 
1.00/1.08	v -x3692 -x3691 -x3690 -x3689 -x3688 -x3687 -x3686 -x3685 -x3684 -x3683 -x3682 -x3681 -x3680 -x3679 -x3678 -x3677 -x3676 -x3675 
1.00/1.08	v -x3674 -x3673 -x3672 -x3671 -x3670 -x3669 -x3668 -x3667 -x3666 -x3665 -x3664 -x3663 -x3662 -x3661 -x3660 -x3659 -x3658 
1.00/1.08	v -x3657 -x3656 -x3655 x3654 x3653 x3652 x3651 -x3650 -x3649 -x3648 -x3647 -x3646 -x3645 -x3644 -x3643 -x3642 -x3641 -x3640 -x3639 
1.00/1.08	v -x3638 -x3637 -x3636 -x3635 -x3634 -x3633 -x3632 -x3631 -x3630 -x3629 -x3628 -x3627 -x3626 -x3625 -x3624 -x3623 -x3622 -x3621 
1.00/1.08	v -x3620 -x3619 -x3618 -x3617 -x3616 -x3615 -x3614 -x3613 -x3612 -x3611 -x3610 -x3609 -x3608 -x3607 -x3606 -x3605 -x3604 -x3603 
1.00/1.08	v -x3602 -x3601 -x3600 -x3599 -x3598 -x3597 -x3596 -x3595 -x3594 -x3593 -x3592 x3591 x3590 x3589 x3588 x3587 x3586 x3585 
1.00/1.08	v x3584 x3583 x3582 x3581 -x3580 -x3579 -x3578 -x3577 -x3576 -x3575 -x3574 -x3573 -x3572 -x3571 -x3570 -x3569 -x3568 -x3567 -x3566 
1.00/1.08	v -x3565 -x3564 -x3563 -x3562 -x3561 -x3560 -x3559 -x3558 -x3557 -x3556 -x3555 -x3554 -x3553 -x3552 -x3551 -x3550 -x3549 -x3548 
1.00/1.08	v -x3547 -x3546 -x3545 -x3544 -x3543 -x3542 -x3541 -x3540 -x3539 -x3538 -x3537 -x3536 -x3535 -x3534 -x3533 -x3532 -x3531 -x3530 
1.00/1.08	v -x3529 x3528 x3527 x3526 x3525 x3524 x3523 x3522 x3521 x3520 x3519 x3518 x3517 x3516 -x3515 -x3514 -x3513 -x3512 -x3511 
1.00/1.08	v -x3510 -x3509 -x3508 -x3507 -x3506 -x3505 -x3504 -x3503 -x3502 -x3501 -x3500 -x3499 -x3498 -x3497 -x3496 -x3495 -x3494 -x3493 
1.00/1.08	v -x3492 -x3491 -x3490 -x3489 -x3488 -x3487 -x3486 -x3485 -x3484 -x3483 -x3482 -x3481 -x3480 -x3479 -x3478 -x3477 -x3476 -x3475 
1.00/1.08	v -x3474 -x3473 -x3472 -x3471 -x3470 -x3469 -x3468 -x3467 -x3466 x3465 x3464 x3463 x3462 x3461 x3460 x3459 x3458 x3457 x3456 
1.00/1.08	v x3455 x3454 x3453 x3452 x3451 x3450 x3449 -x3448 -x3447 -x3446 -x3445 -x3444 -x3443 -x3442 -x3441 -x3440 -x3439 -x3438 -x3437 
1.00/1.08	v -x3436 -x3435 -x3434 -x3433 -x3432 -x3431 -x3430 -x3429 -x3428 -x3427 -x3426 -x3425 -x3424 -x3423 -x3422 -x3421 -x3420 -x3419 
1.00/1.08	v -x3418 -x3417 -x3416 -x3415 -x3414 -x3413 -x3412 -x3411 -x3410 -x3409 -x3408 -x3407 -x3406 -x3405 -x3404 -x3403 x3402 x3401 
1.00/1.08	v x3400 x3399 x3398 x3397 x3396 x3395 x3394 x3393 x3392 x3391 x3390 x3389 x3388 x3387 x3386 x3385 x3384 x3383 -x3382 -x3381 -x3380 
1.00/1.08	v -x3379 -x3378 -x3377 -x3376 -x3375 -x3374 -x3373 -x3372 -x3371 -x3370 -x3369 -x3368 -x3367 -x3366 -x3365 -x3364 -x3363 
1.00/1.08	v -x3362 -x3361 -x3360 -x3359 -x3358 -x3357 -x3356 -x3355 -x3354 -x3353 -x3352 -x3351 -x3350 -x3349 -x3348 -x3347 -x3346 -x3345 
1.00/1.08	v -x3344 -x3343 -x3342 -x3341 -x3340 x3339 x3338 x3337 x3336 x3335 x3334 x3333 x3332 x3331 x3330 x3329 x3328 x3327 x3326 x3325 
1.00/1.08	v -x3324 -x3323 -x3322 -x3321 -x3320 -x3319 -x3318 -x3317 -x3316 -x3315 -x3314 -x3313 -x3312 -x3311 -x3310 -x3309 -x3308 -x3307 
1.00/1.08	v -x3306 -x3305 -x3304 -x3303 -x3302 -x3301 -x3300 -x3299 -x3298 -x3297 -x3296 -x3295 -x3294 -x3293 -x3292 -x3291 -x3290 -x3289 
1.00/1.08	v -x3288 -x3287 -x3286 -x3285 -x3284 -x3283 -x3282 -x3281 -x3280 -x3279 -x3278 -x3277 x3276 x3275 x3274 x3273 x3272 x3271 x3270 
1.00/1.08	v x3269 x3268 x3267 x3266 x3265 x3264 x3263 x3262 x3261 x3260 x3259 -x3258 -x3257 -x3256 -x3255 -x3254 -x3253 -x3252 -x3251 
1.00/1.08	v -x3250 -x3249 -x3248 -x3247 -x3246 -x3245 -x3244 -x3243 -x3242 -x3241 -x3240 -x3239 -x3238 -x3237 -x3236 -x3235 -x3234 -x3233 
1.00/1.08	v -x3232 -x3231 -x3230 -x3229 -x3228 -x3227 -x3226 -x3225 -x3224 -x3223 -x3222 -x3221 -x3220 -x3219 -x3218 -x3217 -x3216 -x3215 
1.00/1.08	v -x3214 x3213 x3212 x3211 x3210 x3209 x3208 x3207 x3206 x3205 x3204 x3203 x3202 x3201 x3200 x3199 x3198 x3197 x3196 x3195 x3194 
1.00/1.08	v -x3193 -x3192 -x3191 -x3190 -x3189 -x3188 -x3187 -x3186 -x3185 -x3184 -x3183 -x3182 -x3181 -x3180 -x3179 -x3178 -x3177 -x3176 
1.00/1.08	v -x3175 -x3174 -x3173 -x3172 -x3171 -x3170 -x3169 -x3168 -x3167 -x3166 -x3165 -x3164 -x3163 -x3162 -x3161 -x3160 -x3159 
1.00/1.08	v -x3158 -x3157 -x3156 -x3155 -x3154 -x3153 -x3152 -x3151 x3150 x3149 x3148 x3147 x3146 x3145 x3144 x3143 x3142 x3141 x3140 x3139 
1.00/1.08	v x3138 x3137 x3136 x3135 x3134 x3133 x3132 x3131 x3130 x3129 x3128 x3127 x3126 x3125 x3124 x3123 x3122 -x3121 -x3120 -x3119 
1.00/1.08	v -x3118 -x3117 -x3116 -x3115 -x3114 -x3113 -x3112 -x3111 -x3110 -x3109 -x3108 -x3107 -x3106 -x3105 -x3104 -x3103 -x3102 -x3101 
1.00/1.08	v -x3100 -x3099 -x3098 -x3097 -x3096 -x3095 -x3094 -x3093 -x3092 -x3091 -x3090 -x3089 -x3088 x3087 x3086 x3085 x3084 x3083 x3082 
1.00/1.08	v x3081 x3080 x3079 x3078 x3077 x3076 x3075 x3074 x3073 x3072 x3071 x3070 x3069 x3068 x3067 x3066 x3065 x3064 x3063 x3062 x3061 
1.00/1.08	v x3060 x3059 x3058 -x3057 -x3056 -x3055 -x3054 -x3053 -x3052 -x3051 -x3050 -x3049 -x3048 -x3047 -x3046 -x3045 -x3044 -x3043 
1.00/1.08	v -x3042 -x3041 -x3040 -x3039 -x3038 -x3037 -x3036 -x3035 -x3034 -x3033 -x3032 -x3031 -x3030 -x3029 -x3028 -x3027 -x3026 -x3025 
1.00/1.08	v x3024 x3023 x3022 x3021 x3020 x3019 x3018 x3017 x3016 x3015 x3014 x3013 x3012 x3011 x3010 x3009 x3008 x3007 x3006 x3005 x3004 
1.00/1.08	v x3003 x3002 -x3001 -x3000 -x2999 -x2998 -x2997 -x2996 -x2995 -x2994 -x2993 -x2992 -x2991 -x2990 -x2989 -x2988 -x2987 -x2986 
1.00/1.08	v -x2985 -x2984 -x2983 -x2982 -x2981 -x2980 -x2979 -x2978 -x2977 -x2976 -x2975 -x2974 -x2973 -x2972 -x2971 -x2970 -x2969 -x2968 
1.00/1.08	v -x2967 -x2966 -x2965 -x2964 -x2963 -x2962 x2961 x2960 x2959 x2958 x2957 x2956 x2955 x2954 x2953 x2952 x2951 x2950 x2949 
1.00/1.08	v x2948 x2947 x2946 x2945 x2944 x2943 x2942 x2941 x2940 x2939 x2938 x2937 -x2936 -x2935 -x2934 -x2933 -x2932 -x2931 -x2930 -x2929 
1.00/1.08	v -x2928 -x2927 -x2926 -x2925 -x2924 -x2923 -x2922 -x2921 -x2920 -x2919 -x2918 -x2917 -x2916 -x2915 -x2914 -x2913 -x2912 -x2911 
1.00/1.08	v -x2910 -x2909 -x2908 -x2907 -x2906 -x2905 -x2904 -x2903 -x2902 -x2901 -x2900 -x2899 x2898 x2897 x2896 x2895 x2894 x2893 x2892 
1.00/1.08	v x2891 x2890 x2889 x2888 x2887 x2886 x2885 x2884 x2883 x2882 x2881 x2880 x2879 x2878 x2877 x2876 x2875 x2874 x2873 x2872 
1.00/1.08	v x2871 x2870 x2869 x2868 x2867 x2866 -x2865 -x2864 -x2863 -x2862 -x2861 -x2860 -x2859 -x2858 -x2857 -x2856 -x2855 -x2854 -x2853 
1.00/1.08	v -x2852 -x2851 -x2850 -x2849 -x2848 -x2847 -x2846 -x2845 -x2844 -x2843 -x2842 -x2841 -x2840 -x2839 -x2838 -x2837 -x2836 x2835 
1.00/1.08	v x2834 x2833 x2832 x2831 x2830 x2829 x2828 x2827 x2826 x2825 x2824 x2823 x2822 x2821 x2820 x2819 x2818 x2817 x2816 x2815 x2814 
1.00/1.08	v x2813 x2812 x2811 x2810 x2809 x2808 x2807 x2806 x2805 x2804 x2803 x2802 x2801 x2800 x2799 x2798 x2797 x2796 -x2795 -x2794 
1.00/1.08	v -x2793 -x2792 -x2791 -x2790 -x2789 -x2788 -x2787 -x2786 -x2785 -x2784 -x2783 -x2782 -x2781 -x2780 -x2779 -x2778 -x2777 -x2776 
1.00/1.08	v -x2775 -x2774 -x2773 x2772 x2771 x2770 x2769 x2768 x2767 x2766 x2765 x2764 x2763 x2762 x2761 x2760 x2759 x2758 x2757 x2756 x2755 
1.00/1.08	v x2754 x2753 x2752 x2751 x2750 x2749 x2748 x2747 x2746 x2745 x2744 x2743 -x2742 -x2741 -x2740 -x2739 -x2738 -x2737 -x2736 
1.00/1.08	v -x2735 -x2734 -x2733 -x2732 -x2731 -x2730 -x2729 -x2728 -x2727 -x2726 -x2725 -x2724 -x2723 -x2722 -x2721 -x2720 -x2719 -x2718 
1.00/1.08	v -x2717 -x2716 -x2715 -x2714 -x2713 -x2712 -x2711 -x2710 x2709 x2708 x2707 x2706 x2705 x2704 x2703 x2702 x2701 x2700 x2699 x2698 
1.00/1.08	v x2697 x2696 x2695 x2694 x2693 x2692 x2691 x2690 x2689 x2688 x2687 x2686 x2685 x2684 x2683 x2682 x2681 x2680 x2679 x2678 
1.00/1.08	v -x2677 -x2676 -x2675 -x2674 -x2673 -x2672 -x2671 -x2670 -x2669 -x2668 -x2667 -x2666 -x2665 -x2664 -x2663 -x2662 -x2661 -x2660 
1.00/1.08	v -x2659 -x2658 -x2657 -x2656 -x2655 -x2654 -x2653 -x2652 -x2651 -x2650 -x2649 -x2648 -x2647 x2646 x2645 x2644 x2643 x2642 x2641 
1.00/1.08	v x2640 x2639 x2638 x2637 x2636 x2635 x2634 x2633 x2632 x2631 x2630 x2629 x2628 x2627 x2626 x2625 x2624 x2623 x2622 x2621 x2620 
1.00/1.08	v x2619 x2618 x2617 -x2616 -x2615 -x2614 -x2613 -x2612 -x2611 -x2610 -x2609 -x2608 -x2607 -x2606 -x2605 -x2604 -x2603 -x2602 
1.00/1.08	v -x2601 -x2600 -x2599 -x2598 -x2597 -x2596 -x2595 -x2594 -x2593 -x2592 -x2591 -x2590 -x2589 -x2588 -x2587 -x2586 -x2585 -x2584 
1.00/1.08	v x2583 x2582 x2581 x2580 x2579 x2578 x2577 x2576 x2575 x2574 x2573 x2572 x2571 x2570 x2569 x2568 x2567 x2566 x2565 x2564 x2563 
1.00/1.08	v x2562 x2561 x2560 x2559 x2558 x2557 x2556 x2555 -x2554 -x2553 -x2552 -x2551 -x2550 -x2549 -x2548 -x2547 -x2546 -x2545 -x2544 
1.00/1.08	v -x2543 -x2542 -x2541 -x2540 -x2539 -x2538 -x2537 -x2536 -x2535 -x2534 -x2533 -x2532 -x2531 -x2530 -x2529 -x2528 -x2527 -x2526 
1.00/1.08	v -x2525 -x2524 -x2523 -x2522 -x2521 x2520 x2519 x2518 x2517 x2516 x2515 x2514 x2513 x2512 x2511 x2510 x2509 x2508 x2507 x2506 
1.00/1.08	v x2505 x2504 x2503 x2502 x2501 x2500 x2499 x2498 x2497 x2496 x2495 x2494 x2493 x2492 x2491 x2490 x2489 x2488 x2487 x2486 
1.00/1.08	v x2485 x2484 x2483 x2482 x2481 -x2480 -x2479 -x2478 -x2477 -x2476 -x2475 -x2474 -x2473 -x2472 -x2471 -x2470 -x2469 -x2468 -x2467 
1.00/1.08	v -x2466 -x2465 -x2464 -x2463 -x2462 -x2461 -x2460 -x2459 -x2458 x2457 x2456 x2455 x2454 x2453 x2452 x2451 x2450 x2449 x2448 
1.00/1.08	v x2447 x2446 x2445 x2444 x2443 x2442 x2441 x2440 x2439 x2438 x2437 x2436 x2435 x2434 x2433 x2432 x2431 x2430 x2429 x2428 x2427 
1.00/1.08	v x2426 x2425 x2424 x2423 x2422 x2421 x2420 x2419 x2418 -x2417 -x2416 -x2415 -x2414 -x2413 -x2412 -x2411 -x2410 -x2409 -x2408 
1.00/1.08	v -x2407 -x2406 -x2405 -x2404 -x2403 -x2402 -x2401 -x2400 -x2399 -x2398 -x2397 -x2396 -x2395 x2394 x2393 x2392 x2391 x2390 x2389 
1.00/1.08	v x2388 x2387 x2386 x2385 x2384 x2383 x2382 x2381 x2380 x2379 x2378 x2377 x2376 x2375 x2374 x2373 x2372 x2371 x2370 x2369 x2368 
1.00/1.08	v x2367 x2366 x2365 x2364 x2363 x2362 x2361 x2360 x2359 x2358 x2357 x2356 x2355 x2354 x2353 x2352 -x2351 -x2350 -x2349 -x2348 
1.00/1.08	v -x2347 -x2346 -x2345 -x2344 -x2343 -x2342 -x2341 -x2340 -x2339 -x2338 -x2337 -x2336 -x2335 -x2334 -x2333 -x2332 x2331 x2330 
1.00/1.08	v x2329 x2328 x2327 x2326 x2325 x2324 x2323 x2322 x2321 x2320 x2319 x2318 x2317 x2316 x2315 x2314 x2313 x2312 x2311 x2310 x2309 
1.00/1.08	v x2308 x2307 x2306 x2305 x2304 x2303 x2302 x2301 x2300 x2299 x2298 x2297 x2296 x2295 x2294 x2293 x2292 x2291 x2290 x2289 x2288 
1.00/1.08	v x2287 x2286 x2285 x2284 x2283 x2282 x2281 x2280 x2279 -x2278 -x2277 -x2276 -x2275 -x2274 -x2273 -x2272 -x2271 -x2270 -x2269 
1.00/1.08	v x2268 x2267 x2266 x2265 x2264 x2263 x2262 x2261 x2260 x2259 x2258 x2257 x2256 x2255 x2254 x2253 x2252 x2251 -x2250 -x2249 
1.00/1.08	v -x2248 -x2247 -x2246 -x2245 -x2244 -x2243 -x2242 -x2241 -x2240 -x2239 -x2238 -x2237 -x2236 -x2235 -x2234 -x2233 -x2232 -x2231 
1.00/1.08	v -x2230 -x2229 -x2228 -x2227 -x2226 -x2225 -x2224 -x2223 -x2222 -x2221 -x2220 -x2219 -x2218 -x2217 -x2216 -x2215 -x2214 -x2213 
1.00/1.08	v -x2212 -x2211 -x2210 -x2209 -x2208 -x2207 -x2206 x2205 x2204 x2203 x2202 x2201 x2200 x2199 x2198 x2197 x2196 x2195 x2194 x2193 
1.00/1.08	v x2192 x2191 x2190 x2189 x2188 x2187 x2186 x2185 x2184 x2183 x2182 x2181 x2180 x2179 x2178 x2177 x2176 x2175 x2174 x2173 x2172 
1.00/1.08	v x2171 x2170 x2169 x2168 x2167 x2166 -x2165 -x2164 -x2163 -x2162 -x2161 -x2160 -x2159 -x2158 -x2157 -x2156 -x2155 -x2154 
1.00/1.08	v -x2153 -x2152 -x2151 -x2150 -x2149 -x2148 -x2147 -x2146 -x2145 -x2144 -x2143 x2142 x2141 x2140 x2139 x2138 x2137 x2136 x2135 
1.00/1.08	v x2134 x2133 x2132 x2131 x2130 x2129 x2128 x2127 x2126 x2125 x2124 x2123 x2122 x2121 x2120 x2119 x2118 x2117 x2116 x2115 x2114 
1.00/1.08	v x2113 x2112 x2111 x2110 x2109 x2108 x2107 -x2106 -x2105 -x2104 -x2103 -x2102 -x2101 -x2100 -x2099 -x2098 -x2097 -x2096 -x2095 
1.00/1.08	v -x2094 -x2093 -x2092 -x2091 -x2090 -x2089 -x2088 -x2087 -x2086 -x2085 -x2084 -x2083 -x2082 -x2081 -x2080 x2079 x2078 x2077 
1.00/1.08	v x2076 x2075 x2074 x2073 x2072 x2071 x2070 x2069 x2068 x2067 x2066 x2065 x2064 x2063 x2062 x2061 x2060 x2059 x2058 x2057 x2056 
1.00/1.08	v x2055 x2054 x2053 x2052 x2051 x2050 x2049 x2048 x2047 x2046 -x2045 -x2044 -x2043 -x2042 -x2041 -x2040 -x2039 -x2038 -x2037 -x2036 
1.00/1.08	v -x2035 -x2034 -x2033 -x2032 -x2031 -x2030 -x2029 -x2028 -x2027 -x2026 -x2025 -x2024 -x2023 -x2022 -x2021 -x2020 -x2019 
1.00/1.08	v -x2018 -x2017 x2016 x2015 x2014 x2013 x2012 x2011 x2010 x2009 x2008 x2007 x2006 x2005 x2004 x2003 x2002 x2001 x2000 x1999 x1998 
1.00/1.08	v x1997 x1996 x1995 x1994 x1993 x1992 x1991 x1990 x1989 x1988 x1987 x1986 x1985 x1984 x1983 x1982 x1981 x1980 x1979 x1978 x1977 
1.00/1.08	v x1976 x1975 x1974 x1973 x1972 x1971 -x1970 -x1969 -x1968 -x1967 -x1966 -x1965 -x1964 -x1963 -x1962 -x1961 -x1960 -x1959 -x1958 
1.00/1.09	v -x1957 -x1956 -x1955 -x1954 x1953 x1952 x1951 x1950 x1949 x1948 x1947 x1946 x1945 x1944 x1943 x1942 x1941 x1940 x1939 x1938 
1.00/1.09	v x1937 x1936 x1935 x1934 x1933 x1932 x1931 x1930 x1929 x1928 x1927 x1926 -x1925 -x1924 -x1923 -x1922 -x1921 -x1920 -x1919 
1.00/1.09	v -x1918 -x1917 -x1916 -x1915 -x1914 -x1913 -x1912 -x1911 -x1910 -x1909 -x1908 -x1907 -x1906 -x1905 -x1904 -x1903 -x1902 -x1901 
1.00/1.09	v -x1900 -x1899 -x1898 -x1897 -x1896 -x1895 -x1894 -x1893 -x1892 -x1891 x1890 x1889 x1888 x1887 x1886 x1885 x1884 x1883 x1882 
1.00/1.09	v x1881 x1880 x1879 x1878 x1877 x1876 x1875 x1874 x1873 x1872 x1871 x1870 x1869 x1868 x1867 x1866 x1865 x1864 x1863 x1862 x1861 
1.00/1.09	v x1860 x1859 x1858 x1857 x1856 x1855 x1854 x1853 x1852 x1851 x1850 x1849 x1848 x1847 x1846 x1845 x1844 x1843 x1842 x1841 x1840 
1.00/1.09	v x1839 -x1838 -x1837 -x1836 -x1835 -x1834 -x1833 -x1832 -x1831 -x1830 -x1829 -x1828 x1827 x1826 x1825 x1824 x1823 x1822 x1821 
1.00/1.09	v x1820 x1819 x1818 x1817 x1816 x1815 x1814 x1813 x1812 x1811 x1810 x1809 x1808 x1807 x1806 x1805 x1804 x1803 x1802 x1801 x1800 
1.00/1.09	v x1799 x1798 x1797 x1796 x1795 x1794 x1793 x1792 x1791 x1790 x1789 x1788 x1787 x1786 -x1785 -x1784 -x1783 -x1782 -x1781 -x1780 
1.00/1.09	v -x1779 -x1778 -x1777 -x1776 -x1775 -x1774 -x1773 -x1772 -x1771 -x1770 -x1769 -x1768 -x1767 -x1766 -x1765 x1764 x1763 x1762 
1.00/1.09	v x1761 x1760 x1759 x1758 x1757 x1756 x1755 x1754 x1753 x1752 x1751 x1750 x1749 x1748 x1747 x1746 x1745 x1744 x1743 x1742 x1741 
1.00/1.09	v x1740 x1739 x1738 x1737 x1736 x1735 x1734 x1733 x1732 x1731 -x1730 -x1729 -x1728 -x1727 -x1726 -x1725 -x1724 -x1723 -x1722 
1.00/1.09	v -x1721 -x1720 -x1719 -x1718 -x1717 -x1716 -x1715 -x1714 -x1713 -x1712 -x1711 -x1710 -x1709 -x1708 -x1707 -x1706 -x1705 -x1704 
1.00/1.09	v -x1703 -x1702 x1701 x1700 x1699 x1698 x1697 x1696 x1695 x1694 x1693 x1692 x1691 x1690 x1689 x1688 x1687 x1686 x1685 x1684 
1.00/1.09	v x1683 x1682 x1681 x1680 x1679 x1678 x1677 x1676 -x1675 -x1674 -x1673 -x1672 -x1671 -x1670 -x1669 -x1668 -x1667 -x1666 -x1665 
1.00/1.09	v -x1664 -x1663 -x1662 -x1661 -x1660 -x1659 -x1658 -x1657 -x1656 -x1655 -x1654 -x1653 -x1652 -x1651 -x1650 -x1649 -x1648 -x1647 
1.00/1.09	v -x1646 -x1645 -x1644 -x1643 -x1642 -x1641 -x1640 -x1639 x1638 x1637 x1636 x1635 x1634 x1633 x1632 x1631 x1630 x1629 x1628 x1627 
1.00/1.09	v x1626 x1625 x1624 x1623 x1622 x1621 x1620 x1619 x1618 x1617 x1616 x1615 x1614 x1613 x1612 x1611 x1610 x1609 x1608 x1607 x1606 
1.00/1.09	v x1605 x1604 x1603 x1602 x1601 x1600 x1599 x1598 x1597 x1596 x1595 x1594 x1593 x1592 x1591 x1590 x1589 x1588 -x1587 -x1586 
1.00/1.09	v -x1585 -x1584 -x1583 -x1582 -x1581 -x1580 -x1579 -x1578 -x1577 -x1576 x1575 x1574 x1573 x1572 x1571 x1570 x1569 x1568 x1567 
1.00/1.09	v x1566 x1565 x1564 x1563 x1562 x1561 x1560 x1559 x1558 x1557 x1556 x1555 x1554 x1553 x1552 x1551 x1550 x1549 x1548 x1547 x1546 
1.00/1.09	v x1545 x1544 x1543 x1542 x1541 x1540 x1539 x1538 x1537 x1536 x1535 x1534 x1533 x1532 x1531 x1530 x1529 x1528 x1527 -x1526 -x1525 
1.00/1.09	v -x1524 -x1523 -x1522 -x1521 -x1520 -x1519 -x1518 -x1517 -x1516 -x1515 -x1514 -x1513 x1512 x1511 x1510 x1509 x1508 x1507 
1.00/1.09	v x1506 x1505 x1504 x1503 x1502 x1501 x1500 x1499 x1498 x1497 x1496 x1495 x1494 x1493 x1492 x1491 x1490 x1489 x1488 x1487 x1486 
1.00/1.09	v x1485 x1484 x1483 x1482 x1481 x1480 x1479 x1478 x1477 x1476 x1475 x1474 x1473 x1472 x1471 x1470 -x1469 -x1468 -x1467 -x1466 
1.00/1.09	v -x1465 -x1464 -x1463 -x1462 -x1461 -x1460 -x1459 -x1458 -x1457 -x1456 -x1455 -x1454 -x1453 -x1452 -x1451 -x1450 x1449 x1448 x1447 
1.00/1.09	v x1446 x1445 x1444 x1443 x1442 x1441 x1440 x1439 x1438 x1437 x1436 x1435 x1434 x1433 x1432 x1431 x1430 x1429 x1428 x1427 
1.00/1.09	v x1426 x1425 x1424 x1423 x1422 x1421 x1420 x1419 x1418 x1417 x1416 x1415 x1414 x1413 x1412 x1411 x1410 x1409 x1408 x1407 x1406 
1.00/1.09	v x1405 x1404 x1403 -x1402 -x1401 -x1400 -x1399 -x1398 -x1397 -x1396 -x1395 -x1394 -x1393 -x1392 -x1391 -x1390 -x1389 -x1388 -x1387 
1.00/1.09	v x1386 x1385 x1384 x1383 x1382 x1381 x1380 x1379 x1378 x1377 x1376 x1375 x1374 x1373 x1372 x1371 x1370 x1369 x1368 x1367 
1.00/1.09	v x1366 x1365 x1364 x1363 x1362 x1361 x1360 x1359 x1358 x1357 x1356 x1355 x1354 x1353 x1352 x1351 x1350 x1349 x1348 x1347 x1346 
1.00/1.09	v x1345 x1344 x1343 x1342 x1341 x1340 x1339 -x1338 -x1337 -x1336 -x1335 -x1334 -x1333 -x1332 -x1331 -x1330 -x1329 -x1328 -x1327 
1.00/1.09	v -x1326 -x1325 -x1324 x1323 x1322 x1321 x1320 x1319 x1318 x1317 x1316 x1315 x1314 x1313 x1312 x1311 x1310 x1309 x1308 x1307 
1.00/1.09	v x1306 x1305 x1304 x1303 x1302 x1301 x1300 x1299 x1298 x1297 x1296 x1295 x1294 x1293 x1292 x1291 x1290 x1289 x1288 x1287 x1286 
1.00/1.09	v x1285 x1284 x1283 x1282 x1281 x1280 x1279 x1278 x1277 x1276 x1275 -x1274 -x1273 -x1272 -x1271 -x1270 -x1269 -x1268 -x1267 -x1266 
1.00/1.09	v -x1265 -x1264 -x1263 -x1262 -x1261 x1260 x1259 x1258 x1257 x1256 x1255 x1254 x1253 x1252 x1251 x1250 x1249 x1248 x1247 
1.00/1.09	v x1246 x1245 x1244 x1243 x1242 x1241 x1240 x1239 x1238 x1237 x1236 x1235 x1234 x1233 x1232 x1231 x1230 x1229 x1228 x1227 x1226 
1.00/1.09	v x1225 x1224 x1223 x1222 x1221 x1220 x1219 x1218 x1217 x1216 x1215 x1214 x1213 x1212 x1211 x1210 x1209 x1208 -x1207 -x1206 -x1205 
1.00/1.09	v -x1204 -x1203 -x1202 -x1201 -x1200 -x1199 -x1198 x1197 x1196 x1195 x1194 x1193 x1192 x1191 x1190 x1189 x1188 x1187 x1186 
1.00/1.09	v x1185 x1184 x1183 x1182 x1181 x1180 x1179 x1178 x1177 x1176 x1175 x1174 x1173 x1172 x1171 x1170 x1169 x1168 -x1167 -x1166 -x1165 
1.00/1.09	v -x1164 -x1163 -x1162 -x1161 -x1160 -x1159 -x1158 -x1157 -x1156 -x1155 -x1154 -x1153 -x1152 -x1151 -x1150 -x1149 -x1148 -x1147 
1.00/1.09	v -x1146 -x1145 -x1144 -x1143 -x1142 -x1141 -x1140 -x1139 -x1138 -x1137 -x1136 -x1135 x1134 x1133 x1132 x1131 x1130 x1129 
1.00/1.09	v x1128 x1127 x1126 x1125 x1124 x1123 x1122 x1121 x1120 x1119 x1118 x1117 x1116 x1115 x1114 x1113 x1112 x1111 x1110 x1109 x1108 
1.00/1.09	v x1107 x1106 x1105 x1104 x1103 x1102 x1101 x1100 x1099 x1098 x1097 x1096 x1095 x1094 -x1093 -x1092 -x1091 -x1090 -x1089 -x1088 
1.00/1.09	v -x1087 -x1086 -x1085 -x1084 -x1083 -x1082 -x1081 -x1080 -x1079 -x1078 -x1077 -x1076 -x1075 -x1074 -x1073 -x1072 x1071 x1070 
1.00/1.09	v x1069 x1068 x1067 x1066 x1065 x1064 x1063 x1062 x1061 x1060 x1059 x1058 x1057 x1056 x1055 x1054 x1053 x1052 x1051 x1050 x1049 
1.00/1.09	v x1048 x1047 x1046 x1045 x1044 x1043 x1042 x1041 x1040 x1039 x1038 x1037 x1036 x1035 x1034 x1033 x1032 x1031 x1030 x1029 x1028 
1.00/1.09	v x1027 x1026 x1025 x1024 x1023 x1022 x1021 x1020 x1019 x1018 -x1017 -x1016 -x1015 -x1014 -x1013 -x1012 -x1011 -x1010 -x1009 
1.00/1.09	v x1008 x1007 x1006 x1005 x1004 x1003 x1002 x1001 x1000 x999 x998 x997 x996 x995 x994 x993 x992 x991 x990 x989 x988 x987 x986 
1.00/1.09	v x985 x984 x983 x982 x981 x980 x979 x978 x977 x976 x975 x974 x973 x972 x971 x970 x969 x968 x967 x966 x965 x964 x963 x962 x961 
1.00/1.09	v x960 x959 x958 x957 -x956 -x955 -x954 -x953 -x952 -x951 -x950 -x949 -x948 -x947 -x946 x945 x944 x943 x942 x941 x940 x939 x938 
1.00/1.09	v x937 x936 x935 x934 x933 x932 x931 x930 x929 x928 x927 x926 x925 x924 x923 x922 x921 x920 x919 x918 x917 x916 x915 x914 x913 
1.00/1.09	v x912 x911 x910 x909 x908 x907 x906 x905 x904 x903 x902 x901 x900 x899 x898 x897 x896 x895 x894 x893 x892 -x891 -x890 -x889 
1.00/1.09	v -x888 -x887 -x886 -x885 -x884 -x883 x882 x881 x880 x879 x878 x877 x876 x875 x874 x873 x872 x871 x870 x869 x868 x867 x866 x865 
1.00/1.09	v x864 x863 x862 x861 x860 x859 x858 x857 x856 x855 x854 x853 x852 x851 x850 x849 x848 x847 x846 x845 x844 x843 x842 x841 x840 
1.00/1.09	v x839 x838 x837 x836 -x835 -x834 -x833 -x832 -x831 -x830 -x829 -x828 -x827 -x826 -x825 -x824 -x823 -x822 -x821 -x820 x819 x818 
1.00/1.09	v x817 x816 x815 x814 x813 x812 x811 x810 x809 x808 x807 x806 x805 x804 x803 x802 x801 x800 x799 x798 x797 x796 x795 x794 x793 
1.00/1.09	v x792 x791 x790 x789 x788 x787 x786 x785 x784 x783 x782 x781 x780 x779 x778 x777 x776 x775 x774 x773 x772 x771 x770 x769 x768 
1.00/1.09	v x767 x766 x765 x764 x763 x762 x761 -x760 -x759 -x758 -x757 x756 x755 x754 x753 x752 x751 x750 x749 x748 x747 x746 x745 x744 
1.00/1.09	v x743 x742 x741 x740 x739 x738 x737 x736 x735 x734 x733 x732 x731 x730 x729 x728 x727 x726 x725 x724 x723 x722 x721 x720 x719 
1.00/1.09	v x718 x717 x716 x715 x714 x713 x712 x711 x710 x709 x708 x707 x706 x705 x704 x703 x702 x701 x700 x699 x698 x697 -x696 -x695 -x694 
1.00/1.09	v x693 x692 x691 x690 x689 x688 x687 x686 x685 x684 x683 x682 x681 x680 x679 x678 x677 x676 x675 x674 x673 x672 x671 x670 x669 
1.00/1.09	v x668 x667 x666 x665 x664 x663 x662 x661 x660 x659 x658 x657 x656 x655 x654 x653 x652 x651 x650 x649 x648 x647 x646 x645 x644 
1.00/1.09	v x643 x642 -x641 -x640 -x639 -x638 -x637 -x636 -x635 -x634 -x633 -x632 -x631 x630 x629 x628 x627 x626 x625 x624 x623 x622 
1.00/1.09	v x621 x620 x619 x618 x617 x616 x615 x614 x613 x612 x611 x610 x609 x608 x607 x606 x605 x604 x603 x602 x601 x600 x599 x598 x597 
1.00/1.09	v x596 x595 x594 x593 x592 x591 x590 x589 x588 x587 x586 x585 x584 x583 x582 x581 x580 x579 x578 x577 -x576 -x575 -x574 -x573 -x572 
1.00/1.09	v -x571 -x570 -x569 -x568 x567 x566 x565 x564 x563 x562 x561 x560 x559 x558 x557 x556 x555 x554 x553 x552 x551 x550 x549 x548 
1.00/1.09	v x547 x546 x545 x544 x543 x542 x541 x540 x539 x538 x537 x536 x535 x534 x533 x532 x531 x530 x529 x528 x527 x526 x525 x524 x523 
1.00/1.09	v x522 x521 x520 -x519 -x518 -x517 -x516 -x515 -x514 -x513 -x512 -x511 -x510 -x509 -x508 -x507 -x506 -x505 x504 x503 x502 x501 
1.00/1.09	v x500 x499 x498 x497 x496 x495 x494 x493 x492 x491 x490 x489 x488 x487 x486 x485 x484 x483 x482 x481 x480 x479 x478 x477 x476 
1.00/1.09	v x475 x474 x473 x472 x471 x470 x469 x468 x467 x466 x465 x464 x463 x462 x461 x460 x459 x458 x457 x456 x455 x454 x453 -x452 
1.00/1.09	v -x451 -x450 -x449 -x448 -x447 -x446 -x445 -x444 -x443 -x442 x441 x440 x439 x438 x437 x436 x435 x434 x433 x432 x431 x430 x429 
1.00/1.09	v x428 x427 x426 x425 x424 x423 x422 x421 x420 x419 x418 x417 x416 x415 x414 x413 x412 x411 x410 x409 x408 x407 x406 x405 x404 
1.00/1.09	v x403 x402 x401 x400 x399 x398 x397 x396 x395 x394 x393 x392 x391 x390 x389 x388 x387 x386 x385 x384 x383 x382 -x381 -x380 -x379 
1.00/1.09	v x378 x377 x376 x375 x374 x373 x372 x371 x370 x369 x368 x367 x366 x365 x364 x363 x362 x361 x360 x359 x358 x357 x356 x355 x354 
1.00/1.09	v x353 x352 x351 x350 x349 x348 x347 x346 x345 x344 x343 x342 x341 x340 x339 x338 x337 x336 x335 x334 x333 x332 x331 x330 x329 
1.00/1.09	v x328 x327 x326 x325 x324 x323 x322 x321 x320 x319 x318 x317 -x316 x315 x314 x313 x312 x311 x310 x309 x308 x307 x306 x305 x304 
1.00/1.09	v x303 x302 x301 x300 x299 x298 x297 x296 x295 x294 x293 x292 x291 x290 x289 x288 x287 x286 x285 x284 x283 x282 x281 x280 x279 
1.00/1.09	v x278 x277 x276 x275 x274 x273 x272 x271 x270 x269 x268 x267 x266 x265 x264 x263 x262 x261 x260 x259 x258 x257 x256 x255 x254 
1.00/1.09	v -x253 x252 x251 x250 x249 x248 x247 x246 x245 x244 x243 x242 x241 x240 x239 x238 x237 x236 x235 x234 x233 x232 x231 x230 
1.00/1.09	v x229 x228 x227 x226 x225 x224 x223 x222 x221 x220 x219 x218 x217 x216 x215 x214 x213 x212 x211 x210 x209 x208 x207 x206 x205 
1.00/1.09	v x204 x203 x202 x201 x200 x199 x198 x197 x196 x195 x194 -x193 -x192 -x191 -x190 x189 x188 x187 x186 x185 x184 x183 x182 x181 x180 
1.00/1.09	v x179 x178 x177 x176 x175 x174 x173 x172 x171 x170 x169 x168 x167 x166 x165 x164 x163 x162 x161 x160 x159 x158 x157 x156 x155 
1.00/1.09	v x154 x153 x152 x151 x150 x149 x148 x147 x146 x145 x144 x143 x142 x141 x140 x139 x138 x137 x136 x135 x134 x133 x132 x131 x130 
1.00/1.09	v x129 x128 x127 x126 x125 x124 x123 x122 x121 x120 x119 x118 x117 x116 x115 x114 x113 x112 x111 x110 x109 x108 x107 x106 x105 
1.00/1.09	v x104 x103 x102 x101 x100 x99 x98 x97 x96 x95 x94 x93 x92 x91 x90 x89 x88 x87 x86 x85 x84 x83 x82 x81 x80 x79 x78 x77 x76 
1.00/1.09	v x75 x74 x73 x72 x71 x70 x69 x68 x67 x66 x65 x64 x63 x62 x61 x60 x59 x58 x57 x56 x55 x54 x53 x52 x51 x50 x49 x48 x47 x46 x45 x44 
1.00/1.09	v x43 x42 x41 x40 x39 x38 x37 x36 x35 x34 x33 x32 x31 x30 x29 x28 x27 x26 x25 x24 x23 x22 x21 x20 x19 x18 x17 x16 x15 x14 x13 
1.00/1.09	v x12 x11 x10 x9 x8 x7 x6 x5 x4 x3 x2 x1 x3843 
1.00/1.09	c SCIP Status        : problem is solved [optimal solution found]
1.00/1.09	c Total Time         :       1.07
1.00/1.09	c   solving          :       1.07
1.00/1.09	c   presolving       :       0.72 (included in solving)
1.00/1.09	c   reading          :       0.14 (included in solving)
1.00/1.09	c Original Problem   :
1.00/1.09	c   Problem name     : HOME/instance-3489640-1307791423.opb
1.00/1.09	c   Variables        : 7686 (7686 binary, 0 integer, 0 implicit integer, 0 continuous)
1.00/1.09	c   Constraints      : 26213 initial, 26213 maximal
1.00/1.09	c Presolved Problem  :
1.00/1.09	c   Problem name     : t_HOME/instance-3489640-1307791423.opb
1.00/1.09	c   Variables        : 935 (935 binary, 0 integer, 0 implicit integer, 0 continuous)
1.00/1.09	c   Constraints      : 2351 initial, 2351 maximal
1.00/1.09	c Presolvers         :       Time  FixedVars   AggrVars   ChgTypes  ChgBounds   AddHoles    DelCons    AddCons   ChgSides   ChgCoefs
1.00/1.09	c   trivial          :       0.00        169          0          0          0          0          0          0          0          0
1.00/1.09	c   dualfix          :       0.00         58          0          0          0          0          0          0          0          0
1.00/1.09	c   boundshift       :       0.00          0          0          0          0          0          0          0          0          0
1.00/1.09	c   inttobinary      :       0.00          0          0          0          0          0          0          0          0          0
1.00/1.09	c   pseudoobj        :       0.00          0          0          0          0          0          0          0          0          0
1.00/1.09	c   implics          :       0.00          0          0          0          0          0          0          0          0          0
1.00/1.09	c   probing          :       0.00          0          0          0          0          0          0          0          0          0
1.00/1.09	c   knapsack         :       0.01          0          0          0          0          0          0          0         75        275
1.00/1.09	c   setppc           :       0.01          0          0          0          0          0          3          0          0          0
1.00/1.09	c   linear           :       0.66       5203       1321          0       5372          0      23859          0         94         96
1.00/1.09	c   logicor          :       0.00          0          0          0          0          0          0          0          0          0
1.00/1.09	c   root node        :          -          0          -          -          0          -          -          -          -          -
1.00/1.09	c Constraints        :     Number  #Separate #Propagate    #EnfoLP    #EnfoPS     #Check   #Resprop    Cutoffs    DomReds       Cuts      Conss   Children
1.00/1.09	c   integral         :          0          0          0          0          0          4          0          0          0          0          0          0
1.00/1.09	c   knapsack         :        183          1          1          0          0          1          0          0          0         18          0          0
1.00/1.09	c   setppc           :       1791          1          1          0          0          1          0          0          0          0          0          0
1.00/1.09	c   logicor          :        377          1          1          0          0          1          0          0          0          0          0          0
1.00/1.09	c   countsols        :          0          0          0          0          0          3          0          0          0          0          0          0
1.00/1.09	c Constraint Timings :  TotalTime   Separate  Propagate     EnfoLP     EnfoPS      Check    Resprop
1.00/1.09	c   integral         :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
1.00/1.09	c   knapsack         :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
1.00/1.09	c   setppc           :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
1.00/1.09	c   logicor          :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
1.00/1.09	c   countsols        :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
1.00/1.09	c Propagators        : #Propagate   #Resprop    Cutoffs    DomReds
1.00/1.09	c   vbounds          :          0          0          0          0
1.00/1.09	c   rootredcost      :          0          0          0          0
1.00/1.09	c   pseudoobj        :          0          0          0          0
1.00/1.09	c Propagator Timings :  TotalTime  Propagate    Resprop
1.00/1.09	c   vbounds          :       0.00       0.00       0.00
1.00/1.09	c   rootredcost      :       0.00       0.00       0.00
1.00/1.09	c   pseudoobj        :       0.00       0.00       0.00
1.00/1.09	c Conflict Analysis  :       Time      Calls    Success  Conflicts   Literals    Reconvs ReconvLits   LP Iters
1.00/1.09	c   propagation      :       0.00          0          0          0        0.0          0        0.0          -
1.00/1.09	c   infeasible LP    :       0.00          0          0          0        0.0          0        0.0          0
1.00/1.09	c   bound exceed. LP :       0.00          0          0          0        0.0          0        0.0          0
1.00/1.09	c   strong branching :       0.00          0          0          0        0.0          0        0.0          0
1.00/1.09	c   pseudo solution  :       0.00          1          0          0        0.0          0        0.0          -
1.00/1.09	c   applied globally :          -          -          -          0        0.0          -          -          -
1.00/1.09	c   applied locally  :          -          -          -          0        0.0          -          -          -
1.00/1.09	c Separators         :       Time      Calls    Cutoffs    DomReds       Cuts      Conss
1.00/1.09	c   cut pool         :       0.00          0          -          -          0          -    (maximal pool size: 97)
1.00/1.09	c   redcost          :       0.00          1          0          0          0          0
1.00/1.09	c   impliedbounds    :       0.00          1          0          0          0          0
1.00/1.09	c   intobj           :       0.00          0          0          0          0          0
1.00/1.09	c   gomory           :       0.01          1          0          0        339          0
1.00/1.09	c   cgmip            :       0.00          0          0          0          0          0
1.00/1.09	c   closecuts        :       0.00          0          0          0          0          0
1.00/1.09	c   strongcg         :       0.01          1          0          0        339          0
1.00/1.09	c   cmir             :       0.00          0          0          0          0          0
1.00/1.09	c   flowcover        :       0.00          0          0          0          0          0
1.00/1.09	c   clique           :       0.01          1          0          0          0          0
1.00/1.09	c   zerohalf         :       0.00          0          0          0          0          0
1.00/1.09	c   mcf              :       0.00          1          0          0          0          0
1.00/1.09	c   oddcycle         :       0.00          0          0          0          0          0
1.00/1.09	c   rapidlearning    :       0.13          1          0          0          0          0
1.00/1.09	c Pricers            :       Time      Calls       Vars
1.00/1.09	c   problem variables:       0.00          0          0
1.00/1.09	c Branching Rules    :       Time      Calls    Cutoffs    DomReds       Cuts      Conss   Children
1.00/1.09	c   pscost           :       0.00          0          0          0          0          0          0
1.00/1.09	c   inference        :       0.00          0          0          0          0          0          0
1.00/1.09	c   mostinf          :       0.00          0          0          0          0          0          0
1.00/1.09	c   leastinf         :       0.00          0          0          0          0          0          0
1.00/1.09	c   fullstrong       :       0.00          0          0          0          0          0          0
1.00/1.09	c   allfullstrong    :       0.00          0          0          0          0          0          0
1.00/1.09	c   random           :       0.00          0          0          0          0          0          0
1.00/1.09	c   relpscost        :       0.00          0          0          0          0          0          0
1.00/1.09	c Primal Heuristics  :       Time      Calls      Found
1.00/1.09	c   LP solutions     :       0.00          -          0
1.00/1.09	c   pseudo solutions :       0.00          -          0
1.00/1.09	c   trivial          :       0.00          1          0
1.00/1.09	c   shiftandpropagate:       0.00          0          0
1.00/1.09	c   simplerounding   :       0.00          0          0
1.00/1.09	c   zirounding       :       0.00          0          0
1.00/1.09	c   rounding         :       0.00          0          0
1.00/1.09	c   shifting         :       0.00          0          0
1.00/1.09	c   intshifting      :       0.00          0          0
1.00/1.09	c   oneopt           :       0.00          0          0
1.00/1.09	c   twoopt           :       0.00          0          0
1.00/1.09	c   fixandinfer      :       0.00          0          0
1.00/1.09	c   feaspump         :       0.00          0          0
1.00/1.09	c   clique           :       0.00          0          0
1.00/1.09	c   coefdiving       :       0.00          0          0
1.00/1.09	c   pscostdiving     :       0.00          0          0
1.00/1.09	c   fracdiving       :       0.00          0          0
1.00/1.09	c   veclendiving     :       0.00          0          0
1.00/1.09	c   intdiving        :       0.00          0          0
1.00/1.09	c   actconsdiving    :       0.00          0          0
1.00/1.09	c   objpscostdiving  :       0.00          0          0
1.00/1.09	c   rootsoldiving    :       0.00          0          0
1.00/1.09	c   linesearchdiving :       0.00          0          0
1.00/1.09	c   guideddiving     :       0.00          0          0
1.00/1.09	c   octane           :       0.00          0          0
1.00/1.09	c   rens             :       0.00          0          0
1.00/1.09	c   rins             :       0.00          0          0
1.00/1.09	c   localbranching   :       0.00          0          0
1.00/1.09	c   mutation         :       0.00          0          0
1.00/1.09	c   crossover        :       0.00          0          0
1.00/1.09	c   dins             :       0.00          0          0
1.00/1.09	c   vbounds          :       0.00          0          0
1.00/1.09	c   undercover       :       0.00          0          0
1.00/1.09	c   subnlp           :       0.00          0          0
1.00/1.09	c   trysol           :       0.00          0          0
1.00/1.09	c LP                 :       Time      Calls Iterations  Iter/call   Iter/sec
1.00/1.09	c   primal LP        :       0.00          0          0       0.00          -
1.00/1.09	c   dual LP          :       0.01          1        200     200.00          -
1.00/1.09	c   lex dual LP      :       0.00          0          0       0.00          -
1.00/1.09	c   barrier LP       :       0.00          0          0       0.00          -
1.00/1.09	c   diving/probing LP:       0.00          0          0       0.00          -
1.00/1.09	c   strong branching :       0.00          0          0       0.00          -
1.00/1.09	c     (at root node) :          -          0          0       0.00          -
1.00/1.09	c   conflict analysis:       0.00          0          0       0.00          -
1.00/1.09	c B&B Tree           :
1.00/1.09	c   number of runs   :          1
1.00/1.09	c   nodes            :          1
1.00/1.09	c   nodes (total)    :          1
1.00/1.09	c   nodes left       :          0
1.00/1.09	c   max depth        :          0
1.00/1.09	c   max depth (total):          0
1.00/1.09	c   backtracks       :          0 (0.0%)
1.00/1.09	c   delayed cutoffs  :          0
1.00/1.09	c   repropagations   :          0 (0 domain reductions, 0 cutoffs)
1.00/1.09	c   avg switch length:       2.00
1.00/1.09	c   switching time   :       0.00
1.00/1.09	c Solution           :
1.00/1.09	c   Solutions found  :          1 (1 improvements)
1.00/1.09	c   First Solution   : +0.00000000000000e+00   (in run 1, after 1 nodes, 1.06 seconds, depth 0, found by <trysol>)
1.00/1.09	c   Primal Bound     : +0.00000000000000e+00   (in run 1, after 1 nodes, 1.06 seconds, depth 0, found by <trysol>)
1.00/1.09	c   Dual Bound       : +0.00000000000000e+00
1.00/1.09	c   Gap              :       0.00 %
1.00/1.09	c   Root Dual Bound  : +0.00000000000000e+00
1.00/1.09	c   Root Iterations  :        200
1.10/1.11	c Time complete: 1.11.

Verifier Data

OK	0

Watcher Data

runsolver Copyright (C) 2010-2011 Olivier ROUSSEL

This is runsolver version 3.3.1 (svn: 988)

This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
GNU General Public License for more details.

command line: BIN/runsolver --timestamp -w /tmp/evaluation-result-3489640-1307791423/watcher-3489640-1307791423 -o /tmp/evaluation-result-3489640-1307791423/solver-3489640-1307791423 -C 1800 -W 1900 -M 15500 HOME/scip-2.0.1.4b_2.linux.x86_64.gnu.opt.spx -f HOME/instance-3489640-1307791423.opb -t 1800 -m 15500 

running on 4 cores: 1,3,5,7

Enforcing CPUTime limit (soft limit, will send SIGTERM then SIGKILL): 1800 seconds
Enforcing CPUTime limit (hard limit, will send SIGXCPU): 1830 seconds
Enforcing wall clock limit (soft limit, will send SIGTERM then SIGKILL): 1900 seconds
Enforcing VSIZE limit (soft limit, will send SIGTERM then SIGKILL): 15872000 KiB
Enforcing VSIZE limit (hard limit, stack expansion will fail with SIGSEGV, brk() and mmap() will return ENOMEM): 15923200 KiB
Current StackSize limit: 10240 KiB


[startup+0 s]
/proc/loadavg: 1.98 1.96 1.95 3/177 9320
/proc/meminfo: memFree=30559840/32951124 swapFree=67051744/67111528
[pid=9320] ppid=9318 vsize=11972 CPUtime=0 cores=1,3,5,7
/proc/9320/stat : 9320 (scip-2.0.1.4b_2) R 9318 9320 18867 0 -1 4202496 2058 0 0 0 0 0 0 0 25 0 1 0 890740317 12259328 2012 33554432000 4194304 9016284 140735276436784 18446744073709551615 7870589 0 0 4096 0 0 0 0 17 5 0 0 0
/proc/9320/statm: 2993 2025 340 1178 0 1811 0

[startup+0.068142 s]
/proc/loadavg: 1.98 1.96 1.95 3/177 9320
/proc/meminfo: memFree=30559840/32951124 swapFree=67051744/67111528
[pid=9320] ppid=9318 vsize=22144 CPUtime=0.06 cores=1,3,5,7
/proc/9320/stat : 9320 (scip-2.0.1.4b_2) R 9318 9320 18867 0 -1 4202496 4511 0 0 0 6 0 0 0 25 0 1 0 890740317 22675456 4465 33554432000 4194304 9016284 140735276436784 18446744073709551615 7713493 0 0 4096 0 0 0 0 17 5 0 0 0
/proc/9320/statm: 5536 4465 357 1178 0 4354 0
Current children cumulated CPU time (s) 0.06
Current children cumulated vsize (KiB) 22144

[startup+0.101143 s]
/proc/loadavg: 1.98 1.96 1.95 3/177 9320
/proc/meminfo: memFree=30559840/32951124 swapFree=67051744/67111528
[pid=9320] ppid=9318 vsize=25588 CPUtime=0.09 cores=1,3,5,7
/proc/9320/stat : 9320 (scip-2.0.1.4b_2) R 9318 9320 18867 0 -1 4202496 5357 0 0 0 9 0 0 0 25 0 1 0 890740317 26202112 5311 33554432000 4194304 9016284 140735276436784 18446744073709551615 6417648 0 0 4096 0 0 0 0 17 5 0 0 0
/proc/9320/statm: 6397 5311 357 1178 0 5215 0
Current children cumulated CPU time (s) 0.09
Current children cumulated vsize (KiB) 25588

[startup+0.301097 s]
/proc/loadavg: 1.98 1.96 1.95 3/177 9320
/proc/meminfo: memFree=30559840/32951124 swapFree=67051744/67111528
[pid=9320] ppid=9318 vsize=72624 CPUtime=0.29 cores=1,3,5,7
/proc/9320/stat : 9320 (scip-2.0.1.4b_2) R 9318 9320 18867 0 -1 4202496 16816 0 0 0 27 2 0 0 25 0 1 0 890740317 74366976 16770 33554432000 4194304 9016284 140735276436784 18446744073709551615 6480211 0 0 4096 16384 0 0 0 17 5 0 0 0
/proc/9320/statm: 18156 16770 484 1178 0 16974 0
Current children cumulated CPU time (s) 0.29
Current children cumulated vsize (KiB) 72624

[startup+0.701026 s]
/proc/loadavg: 1.98 1.96 1.95 3/177 9320
/proc/meminfo: memFree=30559840/32951124 swapFree=67051744/67111528
[pid=9320] ppid=9318 vsize=93704 CPUtime=0.69 cores=1,3,5,7
/proc/9320/stat : 9320 (scip-2.0.1.4b_2) R 9318 9320 18867 0 -1 4202496 22279 0 0 0 67 2 0 0 25 0 1 0 890740317 95952896 21976 33554432000 4194304 9016284 140735276436784 18446744073709551615 6481218 0 0 4096 16384 0 0 0 17 5 0 0 0
/proc/9320/statm: 23426 21976 494 1178 0 22244 0
Current children cumulated CPU time (s) 0.69
Current children cumulated vsize (KiB) 93704

Solver just ended. Dumping a history of the last processes samples

[startup+1.10595 s]
/proc/loadavg: 1.98 1.96 1.95 3/178 9321
/proc/meminfo: memFree=30453464/32951124 swapFree=67051744/67111528
[pid=9320] ppid=9318 vsize=83924 CPUtime=1.1 cores=1,3,5,7
/proc/9320/stat : 9320 (scip-2.0.1.4b_2) R 9318 9320 18867 0 -1 4202496 28204 0 0 0 107 3 0 0 25 0 1 0 890740317 85938176 20099 33554432000 4194304 9016284 140735276436784 18446744073709551615 6817595 0 0 4096 0 0 0 0 17 5 0 0 0
/proc/9320/statm: 20981 20099 689 1178 0 19799 0
Current children cumulated CPU time (s) 1.1
Current children cumulated vsize (KiB) 83924

Child status: 0
Real time (s): 1.11939
CPU time (s): 1.11883
CPU user time (s): 1.08183
CPU system time (s): 0.036994
CPU usage (%): 99.9495
Max. virtual memory (cumulated for all children) (KiB): 115484

getrusage(RUSAGE_CHILDREN,...) data:
user time used= 1.08183
system time used= 0.036994
maximum resident set size= 0
integral shared memory size= 0
integral unshared data size= 0
integral unshared stack size= 0
page reclaims= 28209
page faults= 0
swaps= 0
block input operations= 0
block output operations= 0
messages sent= 0
messages received= 0
signals received= 0
voluntary context switches= 3
involuntary context switches= 1

runsolver used 0.005999 second user time and 0.008998 second system time

The end

Launcher Data

Begin job on node138 at 2011-06-11 13:23:43
IDJOB=3489640
IDBENCH=75808
IDSOLVER=1979
FILE ID=node138/3489640-1307791423
RUNJOBID= node138-1307791278-9061
PBS_JOBID= 13550871
Free space on /tmp= 74064 MiB

SOLVER NAME= SCIP spx E_2 2011-06-10 (fixed)
BENCH NAME= PB10/normalized-PB10/DEC-SMALLINT-LIN/oliveras/j60/normalized-j6048_4-sat.opb
COMMAND LINE= HOME/scip-2.0.1.4b_2.linux.x86_64.gnu.opt.spx -f BENCHNAME -t TIMEOUT -m MEMLIMIT
RUNSOLVER COMMAND LINE= BIN/runsolver --timestamp -w /tmp/evaluation-result-3489640-1307791423/watcher-3489640-1307791423 -o /tmp/evaluation-result-3489640-1307791423/solver-3489640-1307791423 -C 1800 -W 1900 -M 15500  HOME/scip-2.0.1.4b_2.linux.x86_64.gnu.opt.spx -f HOME/instance-3489640-1307791423.opb -t 1800 -m 15500

TIME LIMIT= 1800 seconds
MEMORY LIMIT= 15500 MiB
NBCORE= 4

MD5SUM BENCH= d1ea14abd0b6b02702d61e51284651d4
RANDOM SEED=1895997496

node138.alineos.net Linux 2.6.18-164.el5 #1 SMP Thu Sep 3 03:28:30 EDT 2009

/proc/cpuinfo:
processor	: 0
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 0
cpu cores	: 4
apicid		: 0
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5333.70
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 1
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 0
cpu cores	: 4
apicid		: 16
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.75
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 2
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 1
cpu cores	: 4
apicid		: 2
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.82
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 3
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 1
cpu cores	: 4
apicid		: 18
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.75
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 4
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 2
cpu cores	: 4
apicid		: 4
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.80
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 5
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 2
cpu cores	: 4
apicid		: 20
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.75
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 6
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 3
cpu cores	: 4
apicid		: 6
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.82
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]

processor	: 7
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.854
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 3
cpu cores	: 4
apicid		: 22
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx rdtscp lm constant_tsc ida nonstop_tsc pni monitor ds_cpl vmx est tm2 cx16 xtpr popcnt lahf_lm
bogomips	: 5332.75
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management: [8]


/proc/meminfo:
MemTotal:     32951124 kB
MemFree:      30560268 kB
Buffers:        306884 kB
Cached:        1640092 kB
SwapCached:      13468 kB
Active:         819436 kB
Inactive:      1374576 kB
HighTotal:           0 kB
HighFree:            0 kB
LowTotal:     32951124 kB
LowFree:      30560268 kB
SwapTotal:    67111528 kB
SwapFree:     67051744 kB
Dirty:           17580 kB
Writeback:           4 kB
AnonPages:      243956 kB
Mapped:          11884 kB
Slab:           132592 kB
PageTables:       5128 kB
NFS_Unstable:        0 kB
Bounce:              0 kB
CommitLimit:  83587088 kB
Committed_AS:   440652 kB
VmallocTotal: 34359738367 kB
VmallocUsed:    296008 kB
VmallocChunk: 34359440975 kB
HugePages_Total:     0
HugePages_Free:      0
HugePages_Rsvd:      0
Hugepagesize:     2048 kB

Free space on /tmp at the end= 74048 MiB
End job on node138 at 2011-06-11 13:23:45