Trace number 3739478

Some explanations

A solver is run under the control of another program named runsolver. runsolver is in charge of imposing the CPU time limit and the memory limit to the solver. It also monitors some information about the process. The trace of the execution of a solver is divided into four (or five) parts:
  1. SOLVER DATA
    This is the output of the solver (stdout and stderr).
    Note that some very long lines in this section may be truncated by your web browser ! In such a case, you may want to use the "Download as text" link to get the trace as a text file.

    When the --timestamp option is passed to the runsolver program, each line output by the solver is prepended with a timestamp which indicates at what time the line was output by the solver. Times are relative to the start of the program, given in seconds. The first timestamp (if present) is estimated CPU time. The last timestamp is wall clock time.

    As some 'v lines' may be very long (sometimes several megabytes), the 'v line' output by your solver may be split on several lines to help limit the size of the trace recorded in the database. In any case, the exact output of your solver is preserved in a trace file.
  2. VERIFIER DATA
    The output of the solver is piped to a verifier program which will search a value line "v " and, if found, will check that the given interpretation satisfies all constraints.
  3. CONVERSION SCRIPT DATA (Optionnal)
    When a conversion script is used, this section shows the messages that were output by the conversion script.
  4. WATCHER DATA
    This is the informations gathered by the runsolver program. It first prints the different limits. There's a first limit on CPU time set to X seconds (see the parameters in the trace). After this time has ellapsed, runsolver sends a SIGTERM and 2 seconds later a SIGKILL to the solver. For safety, there's also another limit set to X+30 seconds which will send a SIGXPU to the solver. The last limit is on the virtual memory used by the process (see the parameters in the trace).
    Every ten seconds, the runsolver process fetches the content of /proc/loadavg, /proc/pid/stat and /proc/pid/statm (see man proc) and prints it as raw data. This is only recorded in case we need to investigate the behaviour of a solver. The memory used by the solver (vsize) is also given every ten seconds.
    When the solver exits, runsolver prints some informations such as status and time. CPU usage is the ratio CPU Time/Real Time.
  5. LAUNCHER DATA
    These informations are related to the script which will launch the solver. The most important informations are the command line given to the solver, the md5sum of the different files and the dump of the /proc/cpuinfo and /proc/meminfo which provides some useful information on the computer.

Solver answer on this benchmark

Solver NameAnswerCPU timeWall clock time
PB11: SCIP spx E_2 2011-06-10 (fixed)SAT 0.490925 0.492497

General information on the benchmark

Name/DEC-SMALLINT-LIN/oliveras/j30/
normalized-j3024_5-sat.opb
MD5SUM15498b6d9f251ff20f8a77ac4f5b7367
Bench CategoryDEC-SMALLINT-LIN (no optimisation, small integers, linear constraints)
Best result obtained on this benchmarkSAT
Best value of the objective obtained on this benchmark0
Best CPU time to get the best result obtained on this benchmark0.009997
Has Objective FunctionNO
Satisfiable
(Un)Satisfiability was proved
Best value of the objective function
Optimality of the best value was proved
Number of variables3224
Total number of constraints10369
Number of constraints which are clauses10165
Number of constraints which are cardinality constraints (but not clauses)0
Number of constraints which are nor clauses,nor cardinality constraints204
Minimum length of a constraint1
Maximum length of a constraint20
Number of terms in the objective function 0
Biggest coefficient in the objective function 0
Number of bits for the biggest coefficient in the objective function 0
Sum of the numbers in the objective function 0
Number of bits of the sum of numbers in the objective function 0
Biggest number in a constraint 26
Number of bits of the biggest number in a constraint 5
Biggest sum of numbers in a constraint 128
Number of bits of the biggest sum of numbers8
Number of products (including duplicates)0
Sum of products size (including duplicates)0
Number of different products0
Sum of products size0

Solver Data

0.00/0.00	c SCIP version 2.0.1.5 [precision: 8 byte] [memory: block] [mode: optimized] [LP solver: SoPlex 1.5.0.5]
0.00/0.00	c Copyright (c) 2002-2011 Konrad-Zuse-Zentrum fuer Informationstechnik Berlin (ZIB)
0.00/0.00	c 
0.00/0.00	c user parameter file <scip.set> not found - using default parameters
0.00/0.00	c reading problem <HOME/instance-3739478-1338735965.opb>
0.00/0.06	c original problem has 3224 variables (3224 bin, 0 int, 0 impl, 0 cont) and 10369 constraints
0.00/0.06	c problem read
0.00/0.06	c No objective function, only one solution is needed.
0.00/0.06	c presolving settings loaded
0.00/0.08	c presolving:
0.19/0.26	c (round 1) 1029 del vars, 2640 del conss, 0 add conss, 678 chg bounds, 1 chg sides, 1 chg coeffs, 0 upgd conss, 474052 impls, 0 clqs
0.29/0.34	c (round 2) 2328 del vars, 6943 del conss, 0 add conss, 1862 chg bounds, 4 chg sides, 4 chg coeffs, 0 upgd conss, 480526 impls, 0 clqs
0.29/0.35	c (round 3) 2608 del vars, 8598 del conss, 0 add conss, 1976 chg bounds, 79 chg sides, 77 chg coeffs, 0 upgd conss, 481973 impls, 0 clqs
0.29/0.36	c (round 4) 2723 del vars, 9001 del conss, 0 add conss, 2035 chg bounds, 101 chg sides, 107 chg coeffs, 0 upgd conss, 483373 impls, 0 clqs
0.29/0.36	c (round 5) 2770 del vars, 9158 del conss, 0 add conss, 2063 chg bounds, 133 chg sides, 146 chg coeffs, 0 upgd conss, 483772 impls, 0 clqs
0.29/0.36	c (round 6) 2775 del vars, 9208 del conss, 0 add conss, 2064 chg bounds, 162 chg sides, 175 chg coeffs, 0 upgd conss, 483864 impls, 0 clqs
0.29/0.36	c (round 7) 2775 del vars, 9211 del conss, 0 add conss, 2064 chg bounds, 162 chg sides, 175 chg coeffs, 0 upgd conss, 483864 impls, 0 clqs
0.29/0.37	c (round 8) 2775 del vars, 9219 del conss, 0 add conss, 2064 chg bounds, 162 chg sides, 175 chg coeffs, 1150 upgd conss, 483864 impls, 0 clqs
0.29/0.37	c (round 9) 2797 del vars, 9278 del conss, 0 add conss, 2083 chg bounds, 208 chg sides, 300 chg coeffs, 1150 upgd conss, 485308 impls, 17 clqs
0.29/0.38	c (round 10) 2818 del vars, 9322 del conss, 0 add conss, 2083 chg bounds, 216 chg sides, 363 chg coeffs, 1150 upgd conss, 485364 impls, 15 clqs
0.29/0.38	c (round 11) 2819 del vars, 9325 del conss, 0 add conss, 2083 chg bounds, 218 chg sides, 391 chg coeffs, 1150 upgd conss, 485376 impls, 19 clqs
0.29/0.38	c (round 12) 2820 del vars, 9328 del conss, 0 add conss, 2083 chg bounds, 220 chg sides, 399 chg coeffs, 1150 upgd conss, 485376 impls, 20 clqs
0.29/0.38	c (round 13) 2821 del vars, 9331 del conss, 0 add conss, 2083 chg bounds, 223 chg sides, 403 chg coeffs, 1150 upgd conss, 485378 impls, 20 clqs
0.29/0.38	c (round 14) 2822 del vars, 9334 del conss, 0 add conss, 2083 chg bounds, 225 chg sides, 405 chg coeffs, 1150 upgd conss, 485378 impls, 20 clqs
0.29/0.38	c (round 15) 2823 del vars, 9337 del conss, 0 add conss, 2083 chg bounds, 227 chg sides, 407 chg coeffs, 1150 upgd conss, 485378 impls, 20 clqs
0.29/0.39	c (round 16) 2823 del vars, 9339 del conss, 0 add conss, 2083 chg bounds, 227 chg sides, 407 chg coeffs, 1150 upgd conss, 485378 impls, 20 clqs
0.29/0.39	c presolving (17 rounds):
0.29/0.39	c  2823 deleted vars, 9339 deleted constraints, 0 added constraints, 2083 tightened bounds, 0 added holes, 227 changed sides, 407 changed coefficients
0.29/0.39	c  485378 implications, 20 cliques
0.29/0.39	c presolved problem has 401 variables (401 bin, 0 int, 0 impl, 0 cont) and 1030 constraints
0.29/0.39	c      69 constraints of type <knapsack>
0.29/0.39	c     765 constraints of type <setppc>
0.29/0.39	c     196 constraints of type <logicor>
0.29/0.39	c transformed objective value is always integral (scale: 1)
0.29/0.39	c Presolving Time: 0.31
0.29/0.39	c - non default parameters ----------------------------------------------------------------------
0.29/0.39	c # SCIP version 2.0.1.5
0.29/0.39	c 
0.29/0.39	c # frequency for displaying node information lines
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 100]
0.29/0.39	c display/freq = 10000
0.29/0.39	c 
0.29/0.39	c # maximal time in seconds to run
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 1e+20]
0.29/0.39	c limits/time = 1797
0.29/0.39	c 
0.29/0.39	c # maximal memory usage in MB; reported memory usage is lower than real memory usage!
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 1e+20]
0.29/0.39	c limits/memory = 13950
0.29/0.39	c 
0.29/0.39	c # solving stops, if the given number of solutions were found (-1: no limit)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: -1]
0.29/0.39	c limits/solutions = 1
0.29/0.39	c 
0.29/0.39	c # maximal number of separation rounds per node (-1: unlimited)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 5]
0.29/0.39	c separating/maxrounds = 1
0.29/0.39	c 
0.29/0.39	c # maximal number of separation rounds in the root node (-1: unlimited)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: -1]
0.29/0.39	c separating/maxroundsroot = 5
0.29/0.39	c 
0.29/0.39	c # default clock type (1: CPU user seconds, 2: wall clock time)
0.29/0.39	c # [type: int, range: [1,2], default: 1]
0.29/0.39	c timing/clocktype = 2
0.29/0.39	c 
0.29/0.39	c # belongs reading time to solving time?
0.29/0.39	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.29/0.39	c timing/reading = TRUE
0.29/0.39	c 
0.29/0.39	c # should presolving try to simplify inequalities
0.29/0.39	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.29/0.39	c constraints/linear/simplifyinequalities = TRUE
0.29/0.39	c 
0.29/0.39	c # add initial coupling inequalities as linear constraints, if 'addCoupling' is true
0.29/0.39	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.29/0.39	c constraints/indicator/addCouplingCons = TRUE
0.29/0.39	c 
0.29/0.39	c # should disaggregation of knapsack constraints be allowed in preprocessing?
0.29/0.39	c # [type: bool, range: {TRUE,FALSE}, default: TRUE]
0.29/0.39	c constraints/knapsack/disaggregation = FALSE
0.29/0.39	c 
0.29/0.39	c # should presolving try to simplify knapsacks
0.29/0.39	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
0.29/0.39	c constraints/knapsack/simplifyinequalities = TRUE
0.29/0.39	c 
0.29/0.39	c # maximal number of presolving rounds the presolver participates in (-1: no limit)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: -1]
0.29/0.39	c presolving/probing/maxrounds = 0
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <coefdiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/coefdiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/coefdiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/coefdiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <crossover> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 30]
0.29/0.39	c heuristics/crossover/freq = -1
0.29/0.39	c 
0.29/0.39	c # number of nodes added to the contingent of the total nodes
0.29/0.39	c # [type: longint, range: [0,9223372036854775807], default: 500]
0.29/0.39	c heuristics/crossover/nodesofs = 750
0.29/0.39	c 
0.29/0.39	c # number of nodes without incumbent change that heuristic should wait
0.29/0.39	c # [type: longint, range: [0,9223372036854775807], default: 200]
0.29/0.39	c heuristics/crossover/nwaitingnodes = 100
0.29/0.39	c 
0.29/0.39	c # contingent of sub problem nodes in relation to the number of nodes of the original problem
0.29/0.39	c # [type: real, range: [0,1], default: 0.1]
0.29/0.39	c heuristics/crossover/nodesquot = 0.15
0.29/0.39	c 
0.29/0.39	c # minimum percentage of integer variables that have to be fixed 
0.29/0.39	c # [type: real, range: [0,1], default: 0.666]
0.29/0.39	c heuristics/crossover/minfixingrate = 0.5
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <feaspump> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 20]
0.29/0.39	c heuristics/feaspump/freq = -1
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/feaspump/maxlpiterofs = 2000
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <fracdiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/fracdiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/fracdiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/fracdiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <guideddiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/guideddiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/guideddiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/guideddiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/intdiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <intshifting> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/intshifting/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <linesearchdiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/linesearchdiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/linesearchdiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/linesearchdiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <objpscostdiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 20]
0.29/0.39	c heuristics/objpscostdiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to total iteration number
0.29/0.39	c # [type: real, range: [0,1], default: 0.01]
0.29/0.39	c heuristics/objpscostdiving/maxlpiterquot = 0.015
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/objpscostdiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <oneopt> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 1]
0.29/0.39	c heuristics/oneopt/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <pscostdiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/pscostdiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/pscostdiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/pscostdiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <rens> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 0]
0.29/0.39	c heuristics/rens/freq = -1
0.29/0.39	c 
0.29/0.39	c # minimum percentage of integer variables that have to be fixable 
0.29/0.39	c # [type: real, range: [0,1], default: 0.5]
0.29/0.39	c heuristics/rens/minfixingrate = 0.3
0.29/0.39	c 
0.29/0.39	c # number of nodes added to the contingent of the total nodes
0.29/0.39	c # [type: longint, range: [0,9223372036854775807], default: 500]
0.29/0.39	c heuristics/rens/nodesofs = 2000
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <rootsoldiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 20]
0.29/0.39	c heuristics/rootsoldiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.01]
0.29/0.39	c heuristics/rootsoldiving/maxlpiterquot = 0.015
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/rootsoldiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <rounding> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 1]
0.29/0.39	c heuristics/rounding/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <shiftandpropagate> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 0]
0.29/0.39	c heuristics/shiftandpropagate/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <shifting> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/shifting/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <simplerounding> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 1]
0.29/0.39	c heuristics/simplerounding/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <subnlp> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 1]
0.29/0.39	c heuristics/subnlp/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <trivial> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 0]
0.29/0.39	c heuristics/trivial/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <trysol> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 1]
0.29/0.39	c heuristics/trysol/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <undercover> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 0]
0.29/0.39	c heuristics/undercover/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <veclendiving> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 10]
0.29/0.39	c heuristics/veclendiving/freq = -1
0.29/0.39	c 
0.29/0.39	c # maximal fraction of diving LP iterations compared to node LP iterations
0.29/0.39	c # [type: real, range: [0,1.79769313486232e+308], default: 0.05]
0.29/0.39	c heuristics/veclendiving/maxlpiterquot = 0.075
0.29/0.39	c 
0.29/0.39	c # additional number of allowed LP iterations
0.29/0.39	c # [type: int, range: [0,2147483647], default: 1000]
0.29/0.39	c heuristics/veclendiving/maxlpiterofs = 1500
0.29/0.39	c 
0.29/0.39	c # frequency for calling primal heuristic <zirounding> (-1: never, 0: only at depth freqofs)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 1]
0.29/0.39	c heuristics/zirounding/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling separator <cmir> (-1: never, 0: only in root node)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 0]
0.29/0.39	c separating/cmir/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling separator <flowcover> (-1: never, 0: only in root node)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: 0]
0.29/0.39	c separating/flowcover/freq = -1
0.29/0.39	c 
0.29/0.39	c # frequency for calling separator <rapidlearning> (-1: never, 0: only in root node)
0.29/0.39	c # [type: int, range: [-1,2147483647], default: -1]
0.29/0.39	c separating/rapidlearning/freq = 0
0.29/0.39	c 
0.29/0.39	c -----------------------------------------------------------------------------------------------
0.29/0.39	c start solving
0.29/0.39	c 
0.39/0.40	c  time | node  | left  |LP iter|LP it/n| mem |mdpt |frac |vars |cons |cols |rows |cuts |confs|strbr|  dualbound   | primalbound  |  gap   
0.39/0.40	c   0.4s|     1 |     0 |   214 |     - |  12M|   0 | 128 | 401 |1030 | 401 |1015 |   0 |   0 |   0 | 0.000000e+00 |      --      |    Inf 
0.39/0.46	c y 0.5s|     1 |     0 |   214 |     - |  12M|   0 |   - | 401 |1030 | 401 |1015 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
0.39/0.46	c   0.5s|     1 |     0 |   214 |     - |  12M|   0 |   - | 401 |1030 | 401 |1015 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
0.39/0.46	c   0.5s|     1 |     0 |   214 |     - |  12M|   0 |   - | 401 |1030 | 401 |1015 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
0.39/0.46	c 
0.39/0.46	c SCIP Status        : problem is solved [optimal solution found]
0.39/0.46	c Solving Time (sec) : 0.46
0.39/0.46	c Solving Nodes      : 1
0.39/0.46	c Primal Bound       : +0.00000000000000e+00 (1 solutions)
0.39/0.46	c Dual Bound         : +0.00000000000000e+00
0.39/0.46	c Gap                : 0.00 %
0.39/0.47	s SATISFIABLE
0.39/0.47	v x3224 -x3223 -x3222 -x3221 -x3220 -x3219 -x3218 -x3217 -x3216 -x3215 -x3214 -x3213 -x3212 -x3211 -x3210 -x3209 -x3208 -x3207 -x3206 
0.39/0.47	v -x3205 -x3204 -x3203 -x3202 -x3201 -x3200 -x3199 -x3198 -x3197 -x3196 -x3195 -x3194 -x3193 -x3192 -x3191 -x3190 -x3189 -x3188 
0.39/0.47	v -x3187 -x3186 -x3185 -x3184 -x3183 -x3182 -x3181 -x3180 -x3179 -x3178 -x3177 -x3176 -x3175 -x3174 -x3173 -x3172 x3171 x3170 
0.39/0.47	v x3169 x3168 x3167 x3166 x3165 x3164 -x3163 -x3162 -x3161 -x3160 -x3159 -x3158 -x3157 -x3156 -x3155 -x3154 -x3153 -x3152 
0.39/0.47	v -x3151 -x3150 -x3149 -x3148 -x3147 -x3146 -x3145 -x3144 -x3143 -x3142 -x3141 -x3140 -x3139 -x3138 -x3137 -x3136 -x3135 -x3134 
0.39/0.47	v -x3133 -x3132 -x3131 -x3130 -x3129 -x3128 -x3127 -x3126 -x3125 -x3124 -x3123 -x3122 -x3121 -x3120 x3119 x3118 -x3117 -x3116 
0.39/0.47	v -x3115 -x3114 -x3113 -x3112 -x3111 -x3110 -x3109 -x3108 -x3107 -x3106 -x3105 -x3104 -x3103 -x3102 -x3101 -x3100 -x3099 -x3098 
0.39/0.47	v -x3097 -x3096 -x3095 -x3094 -x3093 -x3092 -x3091 -x3090 -x3089 -x3088 -x3087 -x3086 -x3085 -x3084 -x3083 -x3082 -x3081 -x3080 
0.39/0.47	v -x3079 -x3078 -x3077 -x3076 -x3075 -x3074 -x3073 -x3072 -x3071 -x3070 -x3069 -x3068 -x3067 -x3066 -x3065 -x3064 -x3063 x3062 
0.39/0.47	v x3061 x3060 -x3059 -x3058 -x3057 -x3056 -x3055 -x3054 -x3053 -x3052 -x3051 -x3050 -x3049 -x3048 -x3047 -x3046 -x3045 -x3044 
0.39/0.47	v -x3043 -x3042 -x3041 -x3040 -x3039 -x3038 -x3037 -x3036 -x3035 -x3034 -x3033 -x3032 -x3031 -x3030 -x3029 -x3028 -x3027 -x3026 
0.39/0.47	v -x3025 -x3024 -x3023 -x3022 -x3021 -x3020 -x3019 -x3018 -x3017 -x3016 -x3015 -x3014 -x3013 -x3012 -x3011 -x3010 -x3009 -x3008 
0.39/0.47	v x3007 x3006 x3005 x3004 x3003 -x3002 -x3001 -x3000 -x2999 -x2998 -x2997 -x2996 -x2995 -x2994 -x2993 -x2992 -x2991 -x2990 -x2989 
0.39/0.47	v -x2988 -x2987 -x2986 -x2985 -x2984 -x2983 -x2982 -x2981 -x2980 -x2979 -x2978 -x2977 -x2976 -x2975 -x2974 -x2973 -x2972 
0.39/0.47	v -x2971 -x2970 -x2969 -x2968 -x2967 -x2966 -x2965 -x2964 -x2963 -x2962 -x2961 -x2960 -x2959 -x2958 -x2957 -x2956 -x2955 -x2954 
0.39/0.47	v -x2953 -x2952 -x2951 -x2950 -x2949 -x2948 -x2947 -x2946 -x2945 -x2944 -x2943 -x2942 x2941 x2940 x2939 -x2938 -x2937 -x2936 -x2935 
0.39/0.47	v -x2934 -x2933 -x2932 -x2931 -x2930 -x2929 -x2928 -x2927 -x2926 -x2925 -x2924 -x2923 -x2922 -x2921 -x2920 -x2919 -x2918 
0.39/0.47	v -x2917 -x2916 -x2915 -x2914 -x2913 -x2912 -x2911 -x2910 -x2909 -x2908 -x2907 -x2906 -x2905 -x2904 -x2903 -x2902 -x2901 -x2900 
0.39/0.47	v -x2899 -x2898 -x2897 -x2896 -x2895 -x2894 -x2893 -x2892 -x2891 -x2890 -x2889 -x2888 -x2887 -x2886 -x2885 x2884 x2883 -x2882 
0.39/0.47	v -x2881 -x2880 -x2879 -x2878 -x2877 -x2876 -x2875 -x2874 -x2873 -x2872 -x2871 -x2870 -x2869 -x2868 -x2867 -x2866 -x2865 -x2864 
0.39/0.47	v -x2863 -x2862 -x2861 -x2860 -x2859 -x2858 -x2857 -x2856 -x2855 -x2854 -x2853 -x2852 -x2851 -x2850 -x2849 -x2848 -x2847 -x2846 
0.39/0.47	v -x2845 -x2844 -x2843 -x2842 -x2841 -x2840 -x2839 -x2838 x2837 x2836 x2835 x2834 -x2833 -x2832 -x2831 -x2830 -x2829 -x2828 -x2827 
0.39/0.47	v -x2826 -x2825 -x2824 -x2823 -x2822 -x2821 -x2820 -x2819 -x2818 -x2817 -x2816 -x2815 -x2814 -x2813 -x2812 -x2811 -x2810 
0.39/0.47	v -x2809 -x2808 -x2807 -x2806 -x2805 -x2804 -x2803 -x2802 -x2801 -x2800 -x2799 -x2798 -x2797 -x2796 -x2795 x2794 x2793 x2792 x2791 
0.39/0.47	v x2790 x2789 x2788 x2787 -x2786 -x2785 -x2784 -x2783 -x2782 -x2781 -x2780 -x2779 -x2778 -x2777 -x2776 -x2775 -x2774 -x2773 
0.39/0.47	v -x2772 -x2771 -x2770 -x2769 -x2768 -x2767 -x2766 -x2765 -x2764 -x2763 -x2762 -x2761 -x2760 -x2759 -x2758 -x2757 -x2756 -x2755 
0.39/0.47	v -x2754 -x2753 -x2752 -x2751 -x2750 -x2749 -x2748 x2747 x2746 x2745 x2744 x2743 x2742 x2741 x2740 -x2739 -x2738 -x2737 -x2736 
0.39/0.47	v -x2735 -x2734 -x2733 -x2732 -x2731 -x2730 -x2729 -x2728 -x2727 -x2726 -x2725 -x2724 -x2723 -x2722 -x2721 -x2720 -x2719 -x2718 
0.39/0.47	v -x2717 -x2716 -x2715 -x2714 -x2713 -x2712 -x2711 -x2710 -x2709 -x2708 -x2707 -x2706 -x2705 -x2704 -x2703 -x2702 -x2701 -x2700 
0.39/0.47	v -x2699 -x2698 -x2697 -x2696 -x2695 -x2694 -x2693 -x2692 -x2691 -x2690 -x2689 -x2688 -x2687 -x2686 -x2685 -x2684 -x2683 -x2682 
0.39/0.47	v -x2681 -x2680 -x2679 -x2678 -x2677 -x2676 x2675 x2674 x2673 -x2672 -x2671 -x2670 -x2669 -x2668 -x2667 -x2666 -x2665 -x2664 
0.39/0.47	v -x2663 -x2662 -x2661 -x2660 -x2659 -x2658 -x2657 -x2656 -x2655 -x2654 -x2653 -x2652 -x2651 -x2650 -x2649 -x2648 -x2647 -x2646 
0.39/0.47	v -x2645 -x2644 -x2643 -x2642 -x2641 -x2640 -x2639 -x2638 -x2637 -x2636 -x2635 -x2634 -x2633 -x2632 -x2631 x2630 x2629 x2628 
0.39/0.47	v x2627 x2626 x2625 x2624 -x2623 -x2622 -x2621 -x2620 -x2619 -x2618 -x2617 -x2616 -x2615 -x2614 -x2613 -x2612 -x2611 -x2610 -x2609 
0.39/0.47	v -x2608 -x2607 -x2606 -x2605 -x2604 -x2603 -x2602 -x2601 -x2600 -x2599 -x2598 -x2597 -x2596 -x2595 -x2594 -x2593 -x2592 
0.39/0.47	v -x2591 -x2590 -x2589 -x2588 -x2587 -x2586 -x2585 -x2584 -x2583 -x2582 -x2581 -x2580 -x2579 -x2578 -x2577 -x2576 -x2575 -x2574 
0.39/0.47	v -x2573 -x2572 x2571 x2570 x2569 x2568 x2567 x2566 -x2565 -x2564 -x2563 -x2562 -x2561 -x2560 -x2559 -x2558 -x2557 -x2556 -x2555 
0.39/0.47	v -x2554 -x2553 -x2552 -x2551 -x2550 -x2549 -x2548 -x2547 -x2546 -x2545 -x2544 -x2543 -x2542 -x2541 -x2540 -x2539 -x2538 -x2537 
0.39/0.47	v -x2536 -x2535 -x2534 -x2533 -x2532 -x2531 -x2530 -x2529 -x2528 -x2527 -x2526 -x2525 x2524 x2523 x2522 x2521 x2520 x2519 -x2518 
0.39/0.47	v -x2517 -x2516 -x2515 -x2514 -x2513 -x2512 -x2511 -x2510 -x2509 -x2508 -x2507 -x2506 -x2505 -x2504 -x2503 -x2502 -x2501 
0.39/0.47	v -x2500 -x2499 -x2498 -x2497 -x2496 -x2495 -x2494 -x2493 -x2492 -x2491 -x2490 -x2489 -x2488 -x2487 -x2486 -x2485 -x2484 -x2483 
0.39/0.47	v -x2482 -x2481 -x2480 -x2479 -x2478 -x2477 -x2476 -x2475 -x2474 -x2473 -x2472 -x2471 -x2470 -x2469 -x2468 -x2467 x2466 x2465 
0.39/0.47	v x2464 x2463 x2462 -x2461 -x2460 -x2459 -x2458 -x2457 -x2456 -x2455 -x2454 -x2453 -x2452 -x2451 -x2450 -x2449 -x2448 -x2447 -x2446 
0.39/0.47	v -x2445 -x2444 -x2443 -x2442 -x2441 -x2440 -x2439 -x2438 -x2437 -x2436 -x2435 -x2434 -x2433 -x2432 -x2431 -x2430 -x2429 -x2428 
0.39/0.47	v -x2427 -x2426 -x2425 -x2424 -x2423 -x2422 -x2421 -x2420 -x2419 -x2418 -x2417 -x2416 -x2415 x2414 x2413 x2412 x2411 -x2410 
0.39/0.47	v -x2409 -x2408 -x2407 -x2406 -x2405 -x2404 -x2403 -x2402 -x2401 -x2400 -x2399 -x2398 -x2397 -x2396 -x2395 -x2394 -x2393 -x2392 
0.39/0.47	v -x2391 -x2390 -x2389 -x2388 -x2387 -x2386 -x2385 -x2384 -x2383 -x2382 -x2381 -x2380 -x2379 -x2378 -x2377 -x2376 -x2375 -x2374 
0.39/0.47	v -x2373 -x2372 -x2371 -x2370 -x2369 -x2368 -x2367 -x2366 -x2365 -x2364 -x2363 -x2362 -x2361 -x2360 -x2359 -x2358 x2357 x2356 
0.39/0.47	v x2355 x2354 x2353 x2352 -x2351 -x2350 -x2349 -x2348 -x2347 -x2346 -x2345 -x2344 -x2343 -x2342 -x2341 -x2340 -x2339 -x2338 
0.39/0.47	v x2337 x2336 -x2335 -x2334 -x2333 -x2332 -x2331 -x2330 -x2329 -x2328 -x2327 -x2326 -x2325 -x2324 -x2323 -x2322 -x2321 -x2320 
0.39/0.47	v -x2319 -x2318 -x2317 -x2316 -x2315 -x2314 -x2313 -x2312 -x2311 -x2310 -x2309 -x2308 -x2307 -x2306 -x2305 -x2304 -x2303 -x2302 
0.39/0.47	v -x2301 -x2300 -x2299 -x2298 -x2297 -x2296 -x2295 -x2294 -x2293 -x2292 -x2291 -x2290 -x2289 -x2288 -x2287 -x2286 -x2285 -x2284 
0.39/0.47	v -x2283 -x2282 -x2281 -x2280 -x2279 -x2278 -x2277 -x2276 -x2275 -x2274 -x2273 -x2272 -x2271 -x2270 -x2269 -x2268 -x2267 -x2266 
0.39/0.47	v -x2265 -x2264 -x2263 -x2262 -x2261 -x2260 -x2259 -x2258 -x2257 x2256 x2255 x2254 -x2253 -x2252 -x2251 -x2250 -x2249 -x2248 
0.39/0.47	v -x2247 -x2246 -x2245 -x2244 -x2243 -x2242 -x2241 -x2240 -x2239 -x2238 -x2237 -x2236 -x2235 -x2234 -x2233 -x2232 -x2231 -x2230 
0.39/0.47	v -x2229 -x2228 -x2227 -x2226 -x2225 -x2224 -x2223 -x2222 -x2221 -x2220 -x2219 -x2218 -x2217 -x2216 -x2215 -x2214 -x2213 -x2212 
0.39/0.47	v -x2211 -x2210 -x2209 -x2208 -x2207 -x2206 -x2205 -x2204 -x2203 -x2202 -x2201 x2200 x2199 x2198 x2197 x2196 x2195 x2194 x2193 
0.39/0.47	v x2192 x2191 -x2190 -x2189 -x2188 -x2187 -x2186 -x2185 -x2184 -x2183 -x2182 -x2181 -x2180 -x2179 -x2178 -x2177 -x2176 -x2175 
0.39/0.47	v -x2174 -x2173 -x2172 -x2171 -x2170 -x2169 -x2168 -x2167 -x2166 -x2165 -x2164 -x2163 -x2162 -x2161 -x2160 -x2159 -x2158 -x2157 
0.39/0.47	v -x2156 -x2155 -x2154 -x2153 -x2152 -x2151 -x2150 x2149 x2148 x2147 x2146 x2145 x2144 x2143 -x2142 -x2141 -x2140 -x2139 -x2138 
0.39/0.47	v -x2137 -x2136 -x2135 -x2134 -x2133 -x2132 -x2131 -x2130 -x2129 -x2128 -x2127 -x2126 -x2125 -x2124 -x2123 -x2122 -x2121 -x2120 
0.39/0.47	v -x2119 -x2118 -x2117 -x2116 -x2115 -x2114 -x2113 -x2112 -x2111 -x2110 -x2109 -x2108 -x2107 -x2106 -x2105 -x2104 -x2103 -x2102 
0.39/0.47	v -x2101 -x2100 -x2099 -x2098 -x2097 -x2096 -x2095 -x2094 -x2093 -x2092 x2091 x2090 x2089 x2088 x2087 -x2086 -x2085 -x2084 
0.39/0.47	v -x2083 -x2082 -x2081 -x2080 -x2079 -x2078 -x2077 -x2076 -x2075 -x2074 -x2073 -x2072 -x2071 -x2070 -x2069 -x2068 -x2067 -x2066 
0.39/0.47	v -x2065 -x2064 -x2063 -x2062 -x2061 -x2060 -x2059 -x2058 -x2057 -x2056 -x2055 -x2054 -x2053 -x2052 -x2051 -x2050 -x2049 -x2048 
0.39/0.47	v -x2047 -x2046 -x2045 -x2044 -x2043 -x2042 -x2041 -x2040 -x2039 -x2038 -x2037 -x2036 -x2035 x2034 -x2033 -x2032 -x2031 -x2030 
0.39/0.47	v -x2029 -x2028 -x2027 -x2026 -x2025 -x2024 -x2023 -x2022 -x2021 -x2020 -x2019 -x2018 -x2017 -x2016 -x2015 -x2014 -x2013 -x2012 
0.39/0.47	v x2011 x2010 x2009 x2008 x2007 x2006 x2005 x2004 x2003 x2002 -x2001 -x2000 -x1999 -x1998 -x1997 -x1996 -x1995 -x1994 -x1993 
0.39/0.47	v -x1992 -x1991 -x1990 -x1989 -x1988 -x1987 -x1986 -x1985 -x1984 -x1983 -x1982 -x1981 -x1980 -x1979 -x1978 -x1977 -x1976 -x1975 
0.39/0.47	v -x1974 -x1973 -x1972 -x1971 -x1970 -x1969 -x1968 -x1967 -x1966 -x1965 -x1964 -x1963 -x1962 -x1961 -x1960 -x1959 -x1958 -x1957 
0.39/0.47	v -x1956 -x1955 -x1954 -x1953 -x1952 -x1951 -x1950 -x1949 -x1948 -x1947 -x1946 -x1945 -x1944 -x1943 -x1942 x1941 x1940 x1939 
0.39/0.47	v x1938 x1937 x1936 x1935 x1934 -x1933 -x1932 -x1931 -x1930 -x1929 -x1928 -x1927 -x1926 -x1925 -x1924 -x1923 -x1922 -x1921 -x1920 
0.39/0.47	v -x1919 -x1918 -x1917 -x1916 -x1915 -x1914 -x1913 -x1912 -x1911 -x1910 -x1909 -x1908 -x1907 -x1906 -x1905 -x1904 -x1903 
0.39/0.47	v -x1902 -x1901 -x1900 -x1899 -x1898 x1897 x1896 x1895 x1894 x1893 x1892 x1891 x1890 -x1889 -x1888 -x1887 -x1886 -x1885 -x1884 
0.39/0.47	v -x1883 -x1882 -x1881 -x1880 -x1879 -x1878 -x1877 -x1876 -x1875 -x1874 -x1873 -x1872 -x1871 -x1870 -x1869 -x1868 -x1867 -x1866 
0.39/0.47	v -x1865 -x1864 -x1863 -x1862 -x1861 -x1860 -x1859 -x1858 -x1857 -x1856 -x1855 -x1854 -x1853 -x1852 -x1851 -x1850 -x1849 -x1848 
0.39/0.47	v -x1847 -x1846 -x1845 -x1844 -x1843 -x1842 -x1841 -x1840 -x1839 -x1838 x1837 x1836 x1835 x1834 x1833 x1832 -x1831 -x1830 -x1829 
0.39/0.47	v -x1828 -x1827 -x1826 -x1825 -x1824 -x1823 -x1822 -x1821 -x1820 -x1819 -x1818 -x1817 -x1816 -x1815 -x1814 -x1813 -x1812 -x1811 
0.39/0.47	v -x1810 -x1809 -x1808 -x1807 -x1806 -x1805 -x1804 -x1803 -x1802 -x1801 -x1800 -x1799 -x1798 -x1797 -x1796 -x1795 -x1794 -x1793 
0.39/0.47	v -x1792 -x1791 -x1790 -x1789 -x1788 -x1787 -x1786 -x1785 -x1784 -x1783 -x1782 -x1781 -x1780 x1779 x1778 x1777 -x1776 -x1775 
0.39/0.47	v -x1774 -x1773 -x1772 -x1771 -x1770 -x1769 -x1768 -x1767 -x1766 -x1765 -x1764 -x1763 -x1762 -x1761 -x1760 -x1759 -x1758 -x1757 
0.39/0.47	v -x1756 -x1755 -x1754 -x1753 -x1752 -x1751 -x1750 -x1749 -x1748 -x1747 -x1746 -x1745 -x1744 -x1743 -x1742 -x1741 -x1740 -x1739 
0.39/0.47	v -x1738 -x1737 -x1736 -x1735 -x1734 -x1733 -x1732 -x1731 -x1730 -x1729 -x1728 -x1727 -x1726 x1725 x1724 x1723 x1722 x1721 
0.39/0.47	v x1720 x1719 x1718 x1717 -x1716 -x1715 -x1714 -x1713 -x1712 -x1711 -x1710 -x1709 -x1708 -x1707 -x1706 -x1705 -x1704 -x1703 -x1702 
0.39/0.47	v -x1701 -x1700 -x1699 -x1698 -x1697 -x1696 -x1695 -x1694 -x1693 -x1692 -x1691 -x1690 -x1689 -x1688 -x1687 -x1686 -x1685 
0.39/0.47	v -x1684 -x1683 -x1682 -x1681 -x1680 -x1679 -x1678 -x1677 -x1676 -x1675 -x1674 -x1673 -x1672 -x1671 -x1670 x1669 x1668 x1667 x1666 
0.39/0.47	v x1665 -x1664 -x1663 -x1662 -x1661 -x1660 -x1659 -x1658 -x1657 -x1656 -x1655 -x1654 -x1653 -x1652 -x1651 -x1650 -x1649 -x1648 
0.39/0.47	v -x1647 -x1646 -x1645 -x1644 -x1643 -x1642 -x1641 -x1640 -x1639 -x1638 -x1637 -x1636 -x1635 -x1634 -x1633 -x1632 -x1631 -x1630 
0.39/0.47	v -x1629 -x1628 -x1627 -x1626 -x1625 -x1624 -x1623 -x1622 -x1621 x1620 x1619 x1618 x1617 x1616 x1615 x1614 x1613 -x1611 -x1610 
0.39/0.47	v -x1609 -x1608 -x1607 -x1606 -x1605 -x1604 -x1603 -x1602 -x1601 -x1600 -x1599 -x1598 -x1597 -x1596 -x1595 -x1594 -x1593 -x1592 
0.39/0.47	v -x1591 -x1590 -x1589 -x1588 -x1587 -x1586 -x1585 -x1584 -x1583 -x1582 -x1581 -x1580 -x1579 -x1578 -x1577 -x1576 -x1575 
0.39/0.47	v -x1574 -x1573 -x1572 -x1571 -x1570 -x1569 -x1568 -x1567 -x1566 -x1565 -x1564 -x1563 -x1562 -x1561 x1560 x1559 x1558 x1557 x1556 
0.39/0.47	v x1555 x1554 x1553 x1552 -x1551 -x1550 -x1549 -x1548 -x1547 -x1546 -x1545 -x1544 -x1543 -x1542 -x1541 -x1540 -x1539 -x1538 
0.39/0.47	v -x1537 -x1536 -x1535 -x1534 -x1533 -x1532 -x1531 -x1530 -x1529 -x1528 -x1527 -x1526 -x1525 -x1524 -x1523 -x1522 -x1521 -x1520 
0.39/0.47	v -x1519 -x1518 -x1517 -x1516 -x1515 -x1514 -x1513 -x1512 -x1511 -x1510 -x1509 x1508 x1507 x1506 -x1505 -x1504 -x1503 -x1502 -x1501 
0.39/0.47	v -x1500 -x1499 -x1498 -x1497 -x1496 -x1495 -x1494 -x1493 -x1492 -x1491 -x1490 -x1489 -x1488 -x1487 -x1486 -x1485 -x1484 
0.39/0.47	v -x1483 -x1482 -x1481 -x1480 -x1479 -x1478 -x1477 -x1476 -x1475 -x1474 -x1473 -x1472 -x1471 -x1470 -x1469 -x1468 -x1467 -x1466 
0.39/0.47	v -x1465 -x1464 -x1463 -x1462 -x1461 -x1460 -x1459 -x1458 -x1457 x1456 x1455 x1454 x1453 x1452 x1451 x1450 x1449 x1448 -x1447 
0.39/0.47	v -x1446 -x1445 -x1444 -x1443 -x1442 -x1441 -x1440 -x1439 -x1438 -x1437 -x1436 -x1435 -x1434 -x1433 -x1432 -x1431 -x1430 -x1429 
0.39/0.47	v -x1428 -x1427 -x1426 -x1425 -x1424 -x1423 -x1422 -x1421 -x1420 -x1419 -x1418 -x1417 -x1416 -x1415 -x1414 -x1413 -x1412 -x1411 
0.39/0.47	v -x1410 -x1409 -x1408 -x1407 -x1406 -x1405 x1404 x1403 x1402 x1401 x1400 x1399 x1398 x1397 x1396 x1395 x1394 x1393 x1392 x1391 
0.39/0.47	v -x1390 -x1389 -x1388 -x1387 -x1386 -x1385 -x1384 -x1383 -x1382 -x1381 -x1380 -x1379 -x1378 -x1377 -x1376 -x1375 -x1374 -x1373 
0.39/0.47	v -x1372 -x1371 -x1370 -x1369 -x1368 -x1367 -x1366 -x1365 -x1364 -x1363 -x1362 -x1361 -x1360 -x1359 -x1358 -x1357 -x1356 -x1355 
0.39/0.47	v -x1354 -x1353 x1352 x1351 x1350 x1349 x1348 x1347 x1346 x1345 x1344 x1343 x1342 x1341 x1340 x1339 x1338 x1337 x1336 x1335 
0.39/0.47	v x1334 x1333 x1332 x1331 x1330 x1329 x1328 x1327 -x1326 -x1325 -x1324 -x1323 -x1322 -x1321 -x1320 -x1319 -x1318 -x1317 -x1316 
0.39/0.47	v -x1315 -x1314 -x1313 -x1312 -x1311 -x1310 -x1309 -x1308 -x1307 -x1306 -x1305 -x1304 -x1303 -x1302 -x1301 x1300 x1299 x1298 
0.39/0.47	v x1297 x1296 x1295 x1294 x1293 x1292 x1291 x1290 x1289 x1288 x1287 x1286 x1285 x1284 x1283 x1282 x1281 x1280 x1279 x1278 x1277 
0.39/0.47	v x1276 x1275 x1274 x1273 x1272 x1271 -x1270 -x1269 -x1268 -x1267 -x1266 -x1265 -x1264 -x1263 -x1262 -x1261 -x1260 -x1259 -x1258 
0.39/0.47	v -x1257 -x1256 -x1255 -x1254 -x1253 -x1252 -x1251 -x1250 -x1249 x1248 x1247 x1246 x1245 x1244 x1243 x1242 x1241 x1240 x1239 
0.39/0.47	v x1238 x1237 x1236 x1235 x1234 x1233 x1232 x1231 x1230 x1229 x1228 x1227 x1226 x1225 x1224 x1223 x1222 -x1221 -x1220 -x1219 -x1218 
0.39/0.47	v -x1217 -x1216 -x1215 -x1214 -x1213 -x1212 -x1211 -x1210 -x1209 -x1208 -x1207 -x1206 -x1205 -x1204 -x1203 -x1202 -x1201 
0.39/0.47	v -x1200 -x1199 -x1198 -x1197 x1196 x1195 x1194 x1193 x1192 x1191 x1190 x1189 x1188 x1187 x1186 x1185 x1184 x1183 x1182 x1181 x1180 
0.39/0.47	v x1179 x1178 x1177 x1176 x1175 -x1174 -x1173 -x1172 -x1171 -x1170 -x1169 -x1168 -x1167 -x1166 -x1165 -x1164 -x1163 -x1162 
0.39/0.47	v -x1161 -x1160 -x1159 -x1158 -x1157 -x1156 -x1155 -x1154 -x1153 -x1152 -x1151 -x1150 -x1149 -x1148 -x1147 -x1146 -x1145 x1144 
0.39/0.47	v x1143 x1142 x1141 x1140 x1139 x1138 x1137 x1136 x1135 x1134 x1133 x1132 x1131 x1130 x1129 x1128 -x1127 -x1126 -x1125 -x1124 
0.39/0.47	v -x1123 -x1122 -x1121 -x1120 -x1119 -x1118 -x1117 -x1116 -x1115 -x1114 -x1113 -x1112 -x1111 -x1110 -x1109 -x1108 -x1107 -x1106 
0.39/0.47	v -x1105 -x1104 -x1103 -x1102 -x1101 -x1100 -x1099 -x1098 -x1097 -x1096 -x1095 -x1094 -x1093 x1092 x1091 x1090 x1089 x1088 x1087 
0.39/0.47	v x1086 x1085 x1084 x1083 x1082 x1081 x1080 x1079 x1078 x1077 x1076 x1075 x1074 x1073 x1072 x1071 x1070 x1069 x1068 x1067 x1066 
0.39/0.47	v x1065 x1064 x1063 x1062 x1061 -x1060 -x1059 -x1058 -x1057 -x1056 -x1055 -x1054 -x1053 -x1052 -x1051 -x1050 -x1049 -x1048 
0.39/0.47	v -x1047 -x1046 -x1045 -x1044 -x1043 -x1042 -x1041 x1040 x1039 x1038 x1037 x1036 x1035 x1034 x1033 x1032 x1031 x1030 x1029 x1028 
0.39/0.47	v x1027 x1026 x1025 x1024 x1023 x1022 x1021 x1020 x1019 x1018 x1017 x1016 x1015 x1014 x1013 x1012 -x1011 -x1010 -x1009 -x1008 
0.39/0.47	v -x1007 -x1006 -x1005 -x1004 -x1003 -x1002 -x1001 -x1000 -x999 -x998 -x997 -x996 -x995 -x994 -x993 -x992 -x991 -x990 -x989 x988 
0.39/0.47	v x987 x986 x985 x984 x983 x982 x981 x980 x979 x978 x977 x976 x975 x974 x973 x972 x971 x970 x969 x968 x967 x966 x965 x964 x963 
0.39/0.47	v x962 x961 x960 x959 x958 x957 x956 x955 x954 -x953 -x952 -x951 -x950 -x949 -x948 -x947 -x946 -x945 -x944 -x943 -x942 -x941 
0.39/0.47	v -x940 -x939 -x938 -x937 x936 x935 x934 x933 x932 x931 x930 x929 x928 x927 x926 x925 x924 x923 x922 x921 x920 x919 x918 x917 
0.39/0.47	v x916 x915 x914 x913 x912 x911 x910 x909 x908 x907 -x906 -x905 -x904 -x903 -x902 -x901 -x900 -x899 -x898 -x897 -x896 -x895 -x894 
0.39/0.47	v -x893 -x892 -x891 -x890 -x889 -x888 -x887 -x886 -x885 x884 x883 x882 x881 x880 x879 x878 x877 x876 x875 x874 x873 x872 x871 
0.39/0.47	v x870 x869 x868 x867 x866 x865 x864 x863 x862 x861 x860 x859 x858 x857 x856 x855 x854 x853 x852 x851 x850 -x849 -x848 -x847 
0.39/0.47	v -x846 -x845 -x844 -x843 -x842 -x841 -x840 -x839 -x838 -x837 -x836 -x835 -x834 -x833 x832 x831 x830 x829 x828 x827 x826 x825 x824 
0.39/0.47	v x823 x822 x821 x820 x819 x818 x817 x816 x815 x814 x813 x812 x811 x810 x809 x808 x807 x806 x805 x804 x803 x802 x801 x800 x799 
0.39/0.47	v -x798 -x797 -x796 -x795 -x794 -x793 -x792 -x791 -x790 -x789 -x788 -x787 -x786 -x785 -x784 -x783 -x782 -x781 x780 x779 x778 
0.39/0.47	v x777 x776 x775 x774 x773 x772 x771 x770 x769 x768 x767 x766 x765 x764 x763 x762 x761 x760 x759 x758 x757 x756 x755 x754 x753 
0.39/0.47	v x752 x751 x750 x749 x748 x747 x746 x745 x744 x743 x742 x741 x740 -x739 -x738 -x737 -x736 -x735 -x734 -x733 -x732 -x731 -x730 
0.39/0.47	v -x729 x728 x727 x726 x725 x724 -x723 -x722 -x721 -x720 -x719 -x718 -x717 -x716 -x715 -x714 -x713 -x712 -x711 -x710 -x709 -x708 
0.39/0.47	v -x707 -x706 -x705 -x704 -x703 -x702 -x701 -x700 -x699 -x698 -x697 -x696 -x695 -x694 -x693 -x692 -x691 -x690 -x689 -x688 -x687 
0.39/0.47	v -x686 -x685 -x684 -x683 -x682 -x681 -x680 -x679 -x678 -x677 x676 x675 x674 x673 x672 x671 x670 x669 x668 x667 x666 x665 
0.39/0.47	v x664 x663 x662 x661 x660 x659 x658 x657 x656 x655 x654 x653 x652 x651 x650 x649 x648 x647 x646 x645 x644 x643 x642 -x641 -x640 
0.39/0.47	v -x639 -x638 -x637 -x636 -x635 -x634 -x633 -x632 -x631 -x630 -x629 -x628 -x627 -x626 -x625 x624 x623 x622 x621 x620 x619 x618 
0.39/0.47	v x617 x616 x615 x614 x613 x612 x611 x610 x609 x608 x607 x606 x605 x604 x603 x602 x601 x600 x599 x598 x597 x596 x595 x594 x593 
0.39/0.47	v x592 x591 x590 x589 x588 x587 x586 x585 x584 x583 x582 x581 x580 x579 -x578 -x577 -x576 -x575 -x574 -x573 x572 x571 x570 x569 
0.39/0.47	v x568 x567 x566 x565 x564 x563 x562 x561 x560 x559 x558 x557 x556 x555 x554 x553 x552 x551 x550 x549 x548 x547 x546 x545 x544 
0.39/0.47	v x543 x542 x541 x540 x539 x538 x537 x536 x535 x534 x533 x532 x531 -x530 -x529 -x528 -x527 -x526 -x525 -x524 -x523 -x522 -x521 
0.39/0.47	v x520 x519 x518 x517 x516 x515 x514 x513 x512 x511 x510 x509 x508 x507 x506 x505 x504 x503 x502 x501 x500 x499 x498 x497 x496 
0.39/0.47	v x495 x494 x493 x492 x491 x490 x489 x488 x487 x486 x485 x484 x483 x482 x481 x480 x479 x478 x477 x476 x475 -x474 -x473 -x472 
0.39/0.47	v -x471 -x470 -x469 x468 x467 x466 x465 x464 x463 x462 x461 x460 x459 x458 x457 x456 x455 x454 x453 x452 x451 x450 x449 x448 x447 
0.39/0.47	v x446 x445 x444 x443 x442 x441 x440 x439 x438 x437 x436 x435 x434 x433 x432 x431 x430 x429 x428 x427 x426 x425 x424 x423 x422 
0.39/0.47	v -x421 -x420 -x419 -x418 -x417 x416 x415 x414 x413 x412 x411 x410 x409 x408 x407 x406 x405 x404 x403 x402 x401 x400 x399 x398 
0.39/0.47	v x397 x396 x395 x394 x393 x392 x391 x390 -x389 -x388 -x387 -x386 -x385 -x384 -x383 -x382 -x381 -x380 -x379 -x378 -x377 -x376 
0.39/0.47	v -x375 -x374 -x373 -x372 -x371 -x370 -x369 -x368 -x367 -x366 -x365 x364 x363 x362 x361 x360 x359 x358 x357 x356 x355 x354 x353 
0.39/0.47	v x352 x351 x350 x349 x348 x347 x346 x345 x344 x343 x342 x341 x340 x339 x338 x337 x336 x335 x334 x333 x332 x331 x330 x329 x328 
0.39/0.47	v x327 x326 x325 x324 x323 x322 -x321 -x320 -x319 -x318 -x317 -x316 -x315 -x314 -x313 x312 x311 x310 x309 x308 x307 x306 x305 
0.39/0.47	v x304 x303 x302 x301 x300 x299 x298 x297 x296 x295 x294 x293 x292 x291 x290 x289 x288 x287 x286 x285 x284 x283 x282 x281 x280 
0.39/0.47	v x279 x278 -x277 -x276 -x275 -x274 -x273 -x272 -x271 -x270 -x269 -x268 -x267 -x266 -x265 -x264 -x263 -x262 -x261 x260 x259 
0.39/0.47	v x258 x257 x256 x255 x254 x253 x252 x251 x250 x249 x248 x247 x246 x245 x244 x243 x242 x241 x240 x239 x238 x237 x236 x235 x234 
0.39/0.47	v x233 x232 x231 x230 x229 x228 x227 x226 x225 x224 x223 x222 x221 x220 -x219 -x218 -x217 -x216 -x215 -x214 -x213 -x212 -x211 -x210 
0.39/0.47	v -x209 x208 x207 x206 x205 x204 x203 x202 x201 x200 x199 x198 x197 x196 x195 x194 x193 x192 x191 x190 x189 x188 x187 x186 
0.39/0.47	v x185 x184 x183 x182 x181 x180 x179 x178 x177 x176 x175 x174 x173 x172 x171 x170 x169 x168 x167 x166 x165 -x164 -x163 -x162 -x161 
0.39/0.47	v -x160 -x159 -x158 -x157 x156 x155 x154 x153 x152 x151 x150 x149 x148 x147 x146 x145 x144 x143 x142 x141 x140 x139 x138 x137 
0.39/0.47	v x136 x135 x134 x133 x132 x131 x130 x129 x128 x127 x126 x125 x124 x123 x122 x121 x120 x119 x118 x117 x116 x115 x114 x113 x112 
0.39/0.47	v x111 x110 x109 x108 x107 x106 x105 x104 x103 x102 x101 x100 x99 x98 x97 x96 x95 x94 x93 x92 x91 x90 x89 x88 x87 x86 x85 x84 
0.39/0.47	v x83 x82 x81 x80 x79 x78 x77 x76 x75 x74 x73 x72 x71 x70 x69 x68 x67 x66 x65 x64 x63 x62 x61 x60 x59 x58 x57 x56 x55 x54 x53 
0.39/0.47	v x52 x51 x50 x49 x48 x47 x46 x45 x44 x43 x42 x41 x40 x39 x38 x37 x36 x35 x34 x33 x32 x31 x30 x29 x28 x27 x26 x25 x24 x23 x22 
0.39/0.47	v x21 x20 x19 x18 x17 x16 x15 x14 x13 x12 x11 x10 x9 x8 x7 x6 x5 x4 x3 x2 x1 x1612 
0.39/0.47	c SCIP Status        : problem is solved [optimal solution found]
0.39/0.47	c Total Time         :       0.46
0.39/0.47	c   solving          :       0.46
0.39/0.47	c   presolving       :       0.31 (included in solving)
0.39/0.47	c   reading          :       0.06 (included in solving)
0.39/0.47	c Original Problem   :
0.39/0.47	c   Problem name     : HOME/instance-3739478-1338735965.opb
0.39/0.47	c   Variables        : 3224 (3224 binary, 0 integer, 0 implicit integer, 0 continuous)
0.39/0.47	c   Constraints      : 10369 initial, 10369 maximal
0.39/0.47	c Presolved Problem  :
0.39/0.47	c   Problem name     : t_HOME/instance-3739478-1338735965.opb
0.39/0.47	c   Variables        : 401 (401 binary, 0 integer, 0 implicit integer, 0 continuous)
0.39/0.47	c   Constraints      : 1030 initial, 1030 maximal
0.39/0.47	c Presolvers         :       Time  FixedVars   AggrVars   ChgTypes  ChgBounds   AddHoles    DelCons    AddCons   ChgSides   ChgCoefs
0.39/0.47	c   trivial          :       0.00        141          0          0          0          0          0          0          0          0
0.39/0.47	c   dualfix          :       0.00         38          0          0          0          0          0          0          0          0
0.39/0.47	c   boundshift       :       0.00          0          0          0          0          0          0          0          0          0
0.39/0.47	c   inttobinary      :       0.00          0          0          0          0          0          0          0          0          0
0.39/0.47	c   pseudoobj        :       0.00          0          0          0          0          0          0          0          0          0
0.39/0.47	c   implics          :       0.00          0         15          0          0          0          0          0          0          0
0.39/0.47	c   probing          :       0.00          0          0          0          0          0          0          0          0          0
0.39/0.47	c   knapsack         :       0.01          0          0          0         13          0          0          0         65        232
0.39/0.47	c   setppc           :       0.01          7          0          0          6          0        114          0          0          0
0.39/0.47	c   linear           :       0.27       1942        680          0       2064          0       9219          0        162        175
0.39/0.47	c   logicor          :       0.00          0          0          0          0          0          6          0          0          0
0.39/0.47	c   root node        :          -          3          -          -          3          -          -          -          -          -
0.39/0.47	c Constraints        :     Number  #Separate #Propagate    #EnfoLP    #EnfoPS     #Check   #Resprop    Cutoffs    DomReds       Cuts      Conss   Children
0.39/0.47	c   integral         :          0          0          0          0          0          4          0          0          0          0          0          0
0.39/0.47	c   knapsack         :         69          1          1          0          0          1          0          0          0         16          0          0
0.39/0.47	c   setppc           :        765          1          1          0          0          1          0          0          0          0          0          0
0.39/0.47	c   logicor          :        196          1          1          0          0          1          0          0          0          0          0          0
0.39/0.47	c   countsols        :          0          0          0          0          0          3          0          0          0          0          0          0
0.39/0.47	c Constraint Timings :  TotalTime   Separate  Propagate     EnfoLP     EnfoPS      Check    Resprop
0.39/0.47	c   integral         :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
0.39/0.47	c   knapsack         :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
0.39/0.47	c   setppc           :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
0.39/0.47	c   logicor          :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
0.39/0.47	c   countsols        :       0.00       0.00       0.00       0.00       0.00       0.00       0.00
0.39/0.47	c Propagators        : #Propagate   #Resprop    Cutoffs    DomReds
0.39/0.47	c   vbounds          :          0          0          0          0
0.39/0.47	c   rootredcost      :          0          0          0          0
0.39/0.47	c   pseudoobj        :          0          0          0          0
0.39/0.47	c Propagator Timings :  TotalTime  Propagate    Resprop
0.39/0.47	c   vbounds          :       0.00       0.00       0.00
0.39/0.47	c   rootredcost      :       0.00       0.00       0.00
0.39/0.47	c   pseudoobj        :       0.00       0.00       0.00
0.39/0.47	c Conflict Analysis  :       Time      Calls    Success  Conflicts   Literals    Reconvs ReconvLits   LP Iters
0.39/0.47	c   propagation      :       0.00          0          0          0        0.0          0        0.0          -
0.39/0.47	c   infeasible LP    :       0.00          0          0          0        0.0          0        0.0          0
0.39/0.47	c   bound exceed. LP :       0.00          0          0          0        0.0          0        0.0          0
0.39/0.47	c   strong branching :       0.00          0          0          0        0.0          0        0.0          0
0.39/0.47	c   pseudo solution  :       0.00          1          0          0        0.0          0        0.0          -
0.39/0.47	c   applied globally :          -          -          -          0        0.0          -          -          -
0.39/0.47	c   applied locally  :          -          -          -          0        0.0          -          -          -
0.39/0.47	c Separators         :       Time      Calls    Cutoffs    DomReds       Cuts      Conss
0.39/0.47	c   cut pool         :       0.00          0          -          -          0          -    (maximal pool size: 105)
0.39/0.47	c   redcost          :       0.00          1          0          0          0          0
0.39/0.47	c   impliedbounds    :       0.00          1          0          0         30          0
0.39/0.47	c   intobj           :       0.00          0          0          0          0          0
0.39/0.47	c   gomory           :       0.01          1          0          0        405          0
0.39/0.47	c   cgmip            :       0.00          0          0          0          0          0
0.39/0.47	c   closecuts        :       0.00          0          0          0          0          0
0.39/0.47	c   strongcg         :       0.01          1          0          0        405          0
0.39/0.47	c   cmir             :       0.00          0          0          0          0          0
0.39/0.47	c   flowcover        :       0.00          0          0          0          0          0
0.39/0.47	c   clique           :       0.00          1          0          0          7          0
0.39/0.47	c   zerohalf         :       0.00          0          0          0          0          0
0.39/0.47	c   mcf              :       0.00          1          0          0          0          0
0.39/0.47	c   oddcycle         :       0.00          0          0          0          0          0
0.39/0.47	c   rapidlearning    :       0.05          1          0          3          0          0
0.39/0.47	c Pricers            :       Time      Calls       Vars
0.39/0.47	c   problem variables:       0.00          0          0
0.39/0.47	c Branching Rules    :       Time      Calls    Cutoffs    DomReds       Cuts      Conss   Children
0.39/0.47	c   pscost           :       0.00          0          0          0          0          0          0
0.39/0.47	c   inference        :       0.00          0          0          0          0          0          0
0.39/0.47	c   mostinf          :       0.00          0          0          0          0          0          0
0.39/0.47	c   leastinf         :       0.00          0          0          0          0          0          0
0.39/0.47	c   fullstrong       :       0.00          0          0          0          0          0          0
0.39/0.47	c   allfullstrong    :       0.00          0          0          0          0          0          0
0.39/0.47	c   random           :       0.00          0          0          0          0          0          0
0.39/0.47	c   relpscost        :       0.00          0          0          0          0          0          0
0.39/0.47	c Primal Heuristics  :       Time      Calls      Found
0.39/0.47	c   LP solutions     :       0.00          -          0
0.39/0.47	c   pseudo solutions :       0.00          -          0
0.39/0.47	c   trivial          :       0.00          1          0
0.39/0.47	c   shiftandpropagate:       0.00          0          0
0.39/0.47	c   simplerounding   :       0.00          0          0
0.39/0.47	c   zirounding       :       0.00          0          0
0.39/0.47	c   rounding         :       0.00          0          0
0.39/0.47	c   shifting         :       0.00          0          0
0.39/0.47	c   intshifting      :       0.00          0          0
0.39/0.47	c   oneopt           :       0.00          0          0
0.39/0.47	c   twoopt           :       0.00          0          0
0.39/0.47	c   fixandinfer      :       0.00          0          0
0.39/0.47	c   feaspump         :       0.00          0          0
0.39/0.47	c   clique           :       0.00          0          0
0.39/0.47	c   coefdiving       :       0.00          0          0
0.39/0.47	c   pscostdiving     :       0.00          0          0
0.39/0.47	c   fracdiving       :       0.00          0          0
0.39/0.47	c   veclendiving     :       0.00          0          0
0.39/0.47	c   intdiving        :       0.00          0          0
0.39/0.47	c   actconsdiving    :       0.00          0          0
0.39/0.47	c   objpscostdiving  :       0.00          0          0
0.39/0.47	c   rootsoldiving    :       0.00          0          0
0.39/0.47	c   linesearchdiving :       0.00          0          0
0.39/0.47	c   guideddiving     :       0.00          0          0
0.39/0.47	c   octane           :       0.00          0          0
0.39/0.47	c   rens             :       0.00          0          0
0.39/0.47	c   rins             :       0.00          0          0
0.39/0.47	c   localbranching   :       0.00          0          0
0.39/0.47	c   mutation         :       0.00          0          0
0.39/0.47	c   crossover        :       0.00          0          0
0.39/0.47	c   dins             :       0.00          0          0
0.39/0.47	c   vbounds          :       0.00          0          0
0.39/0.47	c   undercover       :       0.00          0          0
0.39/0.47	c   subnlp           :       0.00          0          0
0.39/0.47	c   trysol           :       0.00          0          0
0.39/0.47	c LP                 :       Time      Calls Iterations  Iter/call   Iter/sec
0.39/0.47	c   primal LP        :       0.00          0          0       0.00          -
0.39/0.47	c   dual LP          :       0.01          1        214     214.00          -
0.39/0.47	c   lex dual LP      :       0.00          0          0       0.00          -
0.39/0.47	c   barrier LP       :       0.00          0          0       0.00          -
0.39/0.47	c   diving/probing LP:       0.00          0          0       0.00          -
0.39/0.47	c   strong branching :       0.00          0          0       0.00          -
0.39/0.47	c     (at root node) :          -          0          0       0.00          -
0.39/0.47	c   conflict analysis:       0.00          0          0       0.00          -
0.39/0.47	c B&B Tree           :
0.39/0.47	c   number of runs   :          1
0.39/0.47	c   nodes            :          1
0.39/0.47	c   nodes (total)    :          1
0.39/0.47	c   nodes left       :          0
0.39/0.47	c   max depth        :          0
0.39/0.47	c   max depth (total):          0
0.39/0.47	c   backtracks       :          0 (0.0%)
0.39/0.47	c   delayed cutoffs  :          0
0.39/0.47	c   repropagations   :          0 (0 domain reductions, 0 cutoffs)
0.39/0.47	c   avg switch length:       2.00
0.39/0.47	c   switching time   :       0.00
0.39/0.47	c Solution           :
0.39/0.47	c   Solutions found  :          1 (1 improvements)
0.39/0.47	c   First Solution   : +0.00000000000000e+00   (in run 1, after 1 nodes, 0.45 seconds, depth 0, found by <trysol>)
0.39/0.47	c   Primal Bound     : +0.00000000000000e+00   (in run 1, after 1 nodes, 0.45 seconds, depth 0, found by <trysol>)
0.39/0.47	c   Dual Bound       : +0.00000000000000e+00
0.39/0.47	c   Gap              :       0.00 %
0.39/0.47	c   Root Dual Bound  : +0.00000000000000e+00
0.39/0.47	c   Root Iterations  :        214
0.39/0.49	c Time complete: 0.48.

Verifier Data

OK	0

Watcher Data

runsolver Copyright (C) 2010-2011 Olivier ROUSSEL

This is runsolver version 3.3.1 (svn: 989)

This program is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
GNU General Public License for more details.

command line: BIN/runsolver --timestamp -w /tmp/evaluation-result-3739478-1338735965/watcher-3739478-1338735965 -o /tmp/evaluation-result-3739478-1338735965/solver-3739478-1338735965 -C 1800 -W 1900 -M 15500 HOME/scip-2.0.1.4b_2.linux.x86_64.gnu.opt.spx -f HOME/instance-3739478-1338735965.opb -t 1800 -m 15500 

running on 4 cores: 1,3,5,7

Enforcing CPUTime limit (soft limit, will send SIGTERM then SIGKILL): 1800 seconds
Enforcing CPUTime limit (hard limit, will send SIGXCPU): 1830 seconds
Enforcing wall clock limit (soft limit, will send SIGTERM then SIGKILL): 1900 seconds
Enforcing VSIZE limit (soft limit, will send SIGTERM then SIGKILL): 15872000 KiB
Enforcing VSIZE limit (hard limit, stack expansion will fail with SIGSEGV, brk() and mmap() will return ENOMEM): 15923200 KiB
Current StackSize limit: 10240 KiB


[startup+0 s]
/proc/loadavg: 1.91 1.97 1.99 3/174 32716
/proc/meminfo: memFree=28228600/32873852 swapFree=7624/7624
[pid=32716] ppid=32714 vsize=9588 CPUtime=0 cores=1,3,5,7
/proc/32716/stat : 32716 (scip-2.0.1.4b_2) R 32714 32716 29314 0 -1 4202496 1523 0 0 0 0 0 0 0 20 0 1 0 339721940 9818112 1478 33554432000 4194304 9016284 140734089873872 140733502386680 7824814 0 0 4096 0 0 0 0 17 5 0 0 0 0 0
/proc/32716/statm: 2397 1479 311 1178 0 1215 0

[startup+0.100058 s]
/proc/loadavg: 1.91 1.97 1.99 3/174 32716
/proc/meminfo: memFree=28228600/32873852 swapFree=7624/7624
[pid=32716] ppid=32714 vsize=34444 CPUtime=0.08 cores=1,3,5,7
/proc/32716/stat : 32716 (scip-2.0.1.4b_2) R 32714 32716 29314 0 -1 4202496 7372 0 0 0 8 0 0 0 20 0 1 0 339721940 35270656 7327 33554432000 4194304 9016284 140734089873872 140733502386680 6480425 0 0 4096 16384 0 0 0 17 5 0 0 0 0 0
/proc/32716/statm: 8611 7327 484 1178 0 7429 0
Current children cumulated CPU time (s) 0.08
Current children cumulated vsize (KiB) 34444

[startup+0.200326 s]
/proc/loadavg: 1.91 1.97 1.99 3/174 32716
/proc/meminfo: memFree=28228600/32873852 swapFree=7624/7624
[pid=32716] ppid=32714 vsize=43132 CPUtime=0.19 cores=1,3,5,7
/proc/32716/stat : 32716 (scip-2.0.1.4b_2) R 32714 32716 29314 0 -1 4202496 9534 0 0 0 18 1 0 0 20 0 1 0 339721940 44167168 9489 33554432000 4194304 9016284 140734089873872 140733502386680 6481288 0 0 4096 16384 0 0 0 17 5 0 0 0 0 0
/proc/32716/statm: 10783 9489 484 1178 0 9601 0
Current children cumulated CPU time (s) 0.19
Current children cumulated vsize (KiB) 43132

[startup+0.300352 s]
/proc/loadavg: 1.91 1.97 1.99 3/174 32716
/proc/meminfo: memFree=28228600/32873852 swapFree=7624/7624
[pid=32716] ppid=32714 vsize=47652 CPUtime=0.29 cores=1,3,5,7
/proc/32716/stat : 32716 (scip-2.0.1.4b_2) R 32714 32716 29314 0 -1 4202496 10894 0 0 0 28 1 0 0 20 0 1 0 339721940 48795648 10592 33554432000 4194304 9016284 140734089873872 140734089869544 6481237 0 0 4096 16384 0 0 0 17 5 0 0 0 0 0
/proc/32716/statm: 11913 10592 494 1178 0 10731 0
Current children cumulated CPU time (s) 0.29
Current children cumulated vsize (KiB) 47652

Solver just ended. Dumping a history of the last processes samples

[startup+0.400351 s]
/proc/loadavg: 1.91 1.97 1.99 3/174 32716
/proc/meminfo: memFree=28228600/32873852 swapFree=7624/7624
[pid=32716] ppid=32714 vsize=50632 CPUtime=0.39 cores=1,3,5,7
/proc/32716/stat : 32716 (scip-2.0.1.4b_2) R 32714 32716 29314 0 -1 4202496 11757 0 0 0 38 1 0 0 20 0 1 0 339721940 51847168 11452 33554432000 4194304 9016284 140734089873872 140734089869544 7925162 0 0 4096 16384 0 0 0 17 5 0 0 0 0 0
/proc/32716/statm: 12658 11454 633 1178 0 11476 0
Current children cumulated CPU time (s) 0.39
Current children cumulated vsize (KiB) 50632

Child status: 0
Real time (s): 0.492497
CPU time (s): 0.490925
CPU user time (s): 0.45893
CPU system time (s): 0.031995
CPU usage (%): 99.6808
Max. virtual memory (cumulated for all children) (KiB): 50632

getrusage(RUSAGE_CHILDREN,...) data:
user time used= 0.45893
system time used= 0.031995
maximum resident set size= 60528
integral shared memory size= 0
integral unshared data size= 0
integral unshared stack size= 0
page reclaims= 15449
page faults= 0
swaps= 0
block input operations= 0
block output operations= 0
messages sent= 0
messages received= 0
signals received= 0
voluntary context switches= 3
involuntary context switches= 2

runsolver used 0 second user time and 0.006998 second system time

The end

Launcher Data

Begin job on node131 at 2012-06-03 17:06:05
IDJOB=3739478
IDBENCH=73221
IDSOLVER=2329
FILE ID=node131/3739478-1338735965
RUNJOBID= node131-1338713677-29331
PBS_JOBID= 14636738
Free space on /tmp= 71388 MiB

SOLVER NAME= PB11: SCIP spx E_2 2011-06-10 (fixed)
BENCH NAME= PB10/normalized-PB10/DEC-SMALLINT-LIN/oliveras/j30/normalized-j3024_5-sat.opb
COMMAND LINE= HOME/scip-2.0.1.4b_2.linux.x86_64.gnu.opt.spx -f BENCHNAME -t TIMEOUT -m MEMLIMIT
RUNSOLVER COMMAND LINE= BIN/runsolver --timestamp -w /tmp/evaluation-result-3739478-1338735965/watcher-3739478-1338735965 -o /tmp/evaluation-result-3739478-1338735965/solver-3739478-1338735965 -C 1800 -W 1900 -M 15500  HOME/scip-2.0.1.4b_2.linux.x86_64.gnu.opt.spx -f HOME/instance-3739478-1338735965.opb -t 1800 -m 15500

TIME LIMIT= 1800 seconds
MEMORY LIMIT= 15500 MiB
NBCORE= 4

MD5SUM BENCH= 15498b6d9f251ff20f8a77ac4f5b7367
RANDOM SEED=248016993

node131.alineos.net Linux 2.6.32-71.29.1.el6.x86_64 #1 SMP Mon Jun 27 19:49:27 BST 2011

/proc/cpuinfo:
processor	: 0
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 0
cpu cores	: 4
apicid		: 0
initial apicid	: 0
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5333.61
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 1
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 0
cpu cores	: 4
apicid		: 16
initial apicid	: 16
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.91
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 2
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 1
cpu cores	: 4
apicid		: 2
initial apicid	: 2
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.91
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 3
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 1
cpu cores	: 4
apicid		: 18
initial apicid	: 18
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.91
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 4
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 2
cpu cores	: 4
apicid		: 4
initial apicid	: 4
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.91
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 5
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 2
cpu cores	: 4
apicid		: 20
initial apicid	: 20
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.91
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 6
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 0
siblings	: 4
core id		: 3
cpu cores	: 4
apicid		: 6
initial apicid	: 6
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.92
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:

processor	: 7
vendor_id	: GenuineIntel
cpu family	: 6
model		: 26
model name	: Intel(R) Xeon(R) CPU           X5550  @ 2.67GHz
stepping	: 5
cpu MHz		: 2666.805
cache size	: 8192 KB
physical id	: 1
siblings	: 4
core id		: 3
cpu cores	: 4
apicid		: 22
initial apicid	: 22
fpu		: yes
fpu_exception	: yes
cpuid level	: 11
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm pbe syscall nx rdtscp lm constant_tsc arch_perfmon pebs bts rep_good xtopology nonstop_tsc aperfmperf pni dtes64 monitor ds_cpl vmx est tm2 ssse3 cx16 xtpr pdcm dca sse4_1 sse4_2 popcnt lahf_lm ida tpr_shadow vnmi flexpriority ept vpid
bogomips	: 5331.92
clflush size	: 64
cache_alignment	: 64
address sizes	: 40 bits physical, 48 bits virtual
power management:


/proc/meminfo:
MemTotal:       32873852 kB
MemFree:        28228872 kB
Buffers:          560868 kB
Cached:          3096208 kB
SwapCached:         2348 kB
Active:          1568556 kB
Inactive:        2207300 kB
Active(anon):     115120 kB
Inactive(anon):     3664 kB
Active(file):    1453436 kB
Inactive(file):  2203636 kB
Unevictable:        7624 kB
Mlocked:            7624 kB
SwapTotal:      67108856 kB
SwapFree:       67099088 kB
Dirty:             17076 kB
Writeback:             0 kB
AnonPages:        199084 kB
Mapped:            17132 kB
Shmem:                 0 kB
Slab:             728728 kB
SReclaimable:     170964 kB
SUnreclaim:       557764 kB
KernelStack:        1456 kB
PageTables:         4056 kB
NFS_Unstable:          0 kB
Bounce:                0 kB
WritebackTmp:          0 kB
CommitLimit:    83545780 kB
Committed_AS:     240048 kB
VmallocTotal:   34359738367 kB
VmallocUsed:      346360 kB
VmallocChunk:   34341988004 kB
HardwareCorrupted:     0 kB
AnonHugePages:    176128 kB
HugePages_Total:       0
HugePages_Free:        0
HugePages_Rsvd:        0
HugePages_Surp:        0
Hugepagesize:       2048 kB
DirectMap4k:        7872 kB
DirectMap2M:    33538048 kB

Free space on /tmp at the end= 71372 MiB
End job on node131 at 2012-06-03 17:06:05