Trace number 2704851

Some explanations

A solver is run under the control of another program named runsolver. runsolver is in charge of imposing the CPU time limit and the memory limit to the solver. It also monitors some information about the process. The trace of the execution of a solver is divided into four (or five) parts:
  1. SOLVER DATA
    This is the output of the solver (stdout and stderr).
    Note that some very long lines in this section may be truncated by your web browser ! In such a case, you may want to use the "Download as text" link to get the trace as a text file.

    When the --timestamp option is passed to the runsolver program, each line output by the solver is prepended with a timestamp which indicates at what time the line was output by the solver. Times are relative to the start of the program, given in seconds. The first timestamp (if present) is estimated CPU time. The last timestamp is wall clock time.

    As some 'v lines' may be very long (sometimes several megabytes), the 'v line' output by your solver may be split on several lines to help limit the size of the trace recorded in the database. In any case, the exact output of your solver is preserved in a trace file.
  2. VERIFIER DATA
    The output of the solver is piped to a verifier program which will search a value line "v " and, if found, will check that the given interpretation satisfies all constraints.
  3. CONVERSION SCRIPT DATA (Optionnal)
    When a conversion script is used, this section shows the messages that were output by the conversion script.
  4. WATCHER DATA
    This is the informations gathered by the runsolver program. It first prints the different limits. There's a first limit on CPU time set to X seconds (see the parameters in the trace). After this time has ellapsed, runsolver sends a SIGTERM and 2 seconds later a SIGKILL to the solver. For safety, there's also another limit set to X+30 seconds which will send a SIGXPU to the solver. The last limit is on the virtual memory used by the process (see the parameters in the trace).
    Every ten seconds, the runsolver process fetches the content of /proc/loadavg, /proc/pid/stat and /proc/pid/statm (see man proc) and prints it as raw data. This is only recorded in case we need to investigate the behaviour of a solver. The memory used by the solver (vsize) is also given every ten seconds.
    When the solver exits, runsolver prints some informations such as status and time. CPU usage is the ratio CPU Time/Real Time.
  5. LAUNCHER DATA
    These informations are related to the script which will launch the solver. The most important informations are the command line given to the solver, the md5sum of the different files and the dump of the /proc/cpuinfo and /proc/meminfo which provides some useful information on the computer.

Solver answer on this benchmark

Solver NameAnswerobjective functionCPU timeWall clock time
SCIPspx SCIP 1.2.1.3 with SoPlex 1.4.2 (CVS Version 30.5.2010) as LP solverOPT0 1.20482 1.20439

General information on the benchmark

Name/OPT-BIGINT-LIN/leberre/opb-trendy/misc2010/random/
rand.smallist/normalized-randd6bc17.cudf.trendy.opb
MD5SUMd67dac7e06dfeb8b53756e448890550b
Bench CategoryOPT-BIGINT-LIN (optimisation, big integers, linear constraints)
Best result obtained on this benchmarkOPT
Best value of the objective obtained on this benchmark0
Best CPU time to get the best result obtained on this benchmark2.98454
Has Objective FunctionYES
Satisfiable
(Un)Satisfiability was proved
Best value of the objective function
Optimality of the best value was proved
Number of variables5835
Total number of constraints16856
Number of constraints which are clauses16856
Number of constraints which are cardinality constraints (but not clauses)0
Number of constraints which are nor clauses,nor cardinality constraints0
Minimum length of a constraint1
Maximum length of a constraint1147
Number of terms in the objective function 2968
Biggest coefficient in the objective function 3281379256
Number of bits for the biggest coefficient in the objective function 32
Sum of the numbers in the objective function 3760456211323
Number of bits of the sum of numbers in the objective function 42
Biggest number in a constraint 3281379256
Number of bits of the biggest number in a constraint 32
Biggest sum of numbers in a constraint 3760456211323
Number of bits of the biggest sum of numbers42
Number of products (including duplicates)0
Sum of products size (including duplicates)0
Number of different products0
Sum of products size0

Solver Data

0.00/0.00	c SCIP version 1.2.1.3 [precision: 8 byte] [memory: block] [mode: optimized] [LP solver: SoPlex 1.4.2]
0.00/0.00	c Copyright (c) 2002-2010 Konrad-Zuse-Zentrum fuer Informationstechnik Berlin (ZIB)
0.00/0.00	c 
0.00/0.00	c user parameter file <scip.set> not found - using default parameters
0.00/0.00	c reading problem <HOME/instance-2704851-1278580127.opb>
0.19/0.27	c original problem has 5835 variables (5835 bin, 0 int, 0 impl, 0 cont) and 16856 constraints
0.19/0.27	c problem read
0.19/0.27	c presolving settings loaded
0.29/0.36	c presolving:
0.49/0.53	c (round 1) 2528 del vars, 4082 del conss, 20 chg bounds, 0 chg sides, 0 chg coeffs, 0 upgd conss, 74952 impls, 0 clqs
0.49/0.57	c (round 2) 2685 del vars, 5421 del conss, 85 chg bounds, 0 chg sides, 3 chg coeffs, 0 upgd conss, 91055 impls, 0 clqs
0.59/0.61	c (round 3) 4014 del vars, 10864 del conss, 220 chg bounds, 1 chg sides, 5 chg coeffs, 0 upgd conss, 91482 impls, 0 clqs
0.59/0.63	c (round 4) 4374 del vars, 13164 del conss, 273 chg bounds, 8 chg sides, 17 chg coeffs, 0 upgd conss, 91940 impls, 0 clqs
0.59/0.64	c (round 5) 4656 del vars, 13916 del conss, 278 chg bounds, 12 chg sides, 28 chg coeffs, 0 upgd conss, 92044 impls, 0 clqs
0.59/0.64	c (round 6) 4764 del vars, 14105 del conss, 280 chg bounds, 13 chg sides, 30 chg coeffs, 0 upgd conss, 92060 impls, 0 clqs
0.59/0.64	c (round 7) 4803 del vars, 14158 del conss, 280 chg bounds, 15 chg sides, 33 chg coeffs, 0 upgd conss, 92068 impls, 0 clqs
0.59/0.65	c (round 8) 4815 del vars, 14175 del conss, 280 chg bounds, 15 chg sides, 33 chg coeffs, 0 upgd conss, 92068 impls, 0 clqs
0.59/0.65	c (round 9) 4821 del vars, 14182 del conss, 280 chg bounds, 15 chg sides, 33 chg coeffs, 0 upgd conss, 92068 impls, 0 clqs
0.59/0.65	c (round 10) 4824 del vars, 14189 del conss, 280 chg bounds, 15 chg sides, 33 chg coeffs, 0 upgd conss, 92068 impls, 0 clqs
0.59/0.65	c (round 11) 4825 del vars, 14190 del conss, 280 chg bounds, 15 chg sides, 33 chg coeffs, 0 upgd conss, 92068 impls, 0 clqs
0.59/0.66	c (round 12) 4830 del vars, 14192 del conss, 280 chg bounds, 15 chg sides, 33 chg coeffs, 0 upgd conss, 92068 impls, 0 clqs
0.69/0.74	c (round 13) 4830 del vars, 14473 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2349 upgd conss, 92068 impls, 0 clqs
0.69/0.75	c (round 14) 4846 del vars, 14490 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2349 upgd conss, 92078 impls, 0 clqs
0.69/0.75	c (round 15) 4848 del vars, 14495 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2349 upgd conss, 92078 impls, 0 clqs
0.69/0.76	c (round 16) 4849 del vars, 14495 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2366 upgd conss, 92078 impls, 0 clqs
0.69/0.77	c (round 17) 4849 del vars, 14499 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 92078 impls, 0 clqs
0.79/0.83	c (round 18) 4899 del vars, 14499 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93149 impls, 0 clqs
0.79/0.83	c (round 19) 4899 del vars, 14559 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93149 impls, 0 clqs
0.79/0.84	c (round 20) 4904 del vars, 14567 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93149 impls, 0 clqs
0.79/0.84	c (round 21) 4905 del vars, 14579 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93149 impls, 0 clqs
0.79/0.85	c (round 22) 4905 del vars, 14626 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93149 impls, 0 clqs
0.89/0.90	c (round 23) 4955 del vars, 14626 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93255 impls, 0 clqs
0.89/0.90	c (round 24) 4955 del vars, 14676 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93255 impls, 0 clqs
0.89/0.91	c (round 25) 4955 del vars, 14726 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93255 impls, 0 clqs
0.89/0.96	c (round 26) 5005 del vars, 14726 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93401 impls, 0 clqs
0.89/0.96	c (round 27) 5006 del vars, 14775 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93403 impls, 0 clqs
0.89/0.97	c (round 28) 5006 del vars, 14776 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93403 impls, 0 clqs
0.89/0.97	c (round 29) 5006 del vars, 14830 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 93403 impls, 0 clqs
1.00/1.09	c (round 30) 5054 del vars, 14830 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 94823 impls, 0 clqs
1.00/1.10	c (round 31) 5062 del vars, 14906 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 94869 impls, 0 clqs
1.00/1.10	c (round 32) 5066 del vars, 14928 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 94869 impls, 0 clqs
1.10/1.11	c (round 33) 5066 del vars, 14967 del conss, 280 chg bounds, 23 chg sides, 33 chg coeffs, 2367 upgd conss, 94869 impls, 0 clqs
1.10/1.11	c presolving (34 rounds):
1.10/1.11	c  5066 deleted vars, 14967 deleted constraints, 280 tightened bounds, 0 added holes, 23 changed sides, 33 changed coefficients
1.10/1.11	c  94869 implications, 0 cliques
1.10/1.11	c presolved problem has 769 variables (769 bin, 0 int, 0 impl, 0 cont) and 1889 constraints
1.10/1.11	c    1177 constraints of type <setppc>
1.10/1.11	c     712 constraints of type <logicor>
1.10/1.11	c transformed objective value is always integral (scale: 1)
1.10/1.11	c Presolving Time: 0.79
1.10/1.11	c - non default parameters ----------------------------------------------------------------------
1.10/1.11	c # SCIP version 1.2.1.3
1.10/1.11	c 
1.10/1.11	c # frequency for displaying node information lines
1.10/1.11	c # [type: int, range: [-1,2147483647], default: 100]
1.10/1.11	c display/freq = 10000
1.10/1.11	c 
1.10/1.11	c # maximal time in seconds to run
1.10/1.11	c # [type: real, range: [0,1.79769313486232e+308], default: 1e+20]
1.10/1.11	c limits/time = 1789.73
1.10/1.11	c 
1.10/1.11	c # maximal memory usage in MB; reported memory usage is lower than real memory usage!
1.10/1.11	c # [type: real, range: [0,1.79769313486232e+308], default: 1e+20]
1.10/1.11	c limits/memory = 1620
1.10/1.11	c 
1.10/1.11	c # default clock type (1: CPU user seconds, 2: wall clock time)
1.10/1.11	c # [type: int, range: [1,2], default: 1]
1.10/1.11	c timing/clocktype = 2
1.10/1.11	c 
1.10/1.11	c # should presolving try to simplify inequalities
1.10/1.11	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
1.10/1.11	c constraints/linear/simplifyinequalities = TRUE
1.10/1.11	c 
1.10/1.11	c # add initial coupling inequalities as linear constraints, if 'addCoupling' is true
1.10/1.11	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
1.10/1.11	c constraints/indicator/addCouplingCons = TRUE
1.10/1.11	c 
1.10/1.11	c # should presolving try to simplify knapsacks
1.10/1.11	c # [type: bool, range: {TRUE,FALSE}, default: FALSE]
1.10/1.11	c constraints/knapsack/simplifyinequalities = TRUE
1.10/1.11	c 
1.10/1.11	c # frequency for calling separator <rapidlearning> (-1: never, 0: only in root node)
1.10/1.11	c # [type: int, range: [-1,2147483647], default: -1]
1.10/1.11	c separating/rapidlearning/freq = 0
1.10/1.11	c 
1.10/1.11	c -----------------------------------------------------------------------------------------------
1.10/1.11	c start solving
1.10/1.12	c 
1.10/1.13	o 0
1.10/1.13	c  time | node  | left  |LP iter|LP it/n| mem |mdpt |frac |vars |cons |cols |rows |cuts |confs|strbr|  dualbound   | primalbound  |  gap   
1.10/1.13	c * 0.9s|     1 |     0 |   123 |     - |  18M|   0 |   - | 769 |1889 | 769 |1696 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
1.10/1.13	c   0.9s|     1 |     0 |   123 |     - |  18M|   0 |   - | 769 |1889 | 769 |1696 |   0 |   0 |   0 | 0.000000e+00 | 0.000000e+00 |   0.00%
1.10/1.13	c 
1.10/1.13	c SCIP Status        : problem is solved [optimal solution found]
1.10/1.13	c Solving Time (sec) : 0.87
1.10/1.13	c Solving Nodes      : 1
1.10/1.13	c Primal Bound       : +0.00000000000000e+00 (1 solutions)
1.10/1.13	c Dual Bound         : +0.00000000000000e+00
1.10/1.13	c Gap                : 0.00 %
1.10/1.16	s OPTIMUM FOUND
1.10/1.16	v -x2867 -x2866 -x2865 -x2864 -x2863 -x2862 -x2861 -x2860 -x2859 -x2858 -x2857 -x2856 -x2855 -x2854 -x2853 -x2852 -x2851 -x2850 -x2849 
1.10/1.16	v -x2848 -x2847 -x2846 -x2845 -x2844 -x2843 -x2842 -x2841 -x2840 -x2839 -x2838 -x2837 -x2836 -x2835 -x2834 -x2833 -x2832 
1.10/1.16	v x2831 x2830 -x2829 -x2828 -x2827 -x2826 -x2825 x2824 -x2823 -x2822 -x2821 -x2820 -x2819 -x2818 -x2817 -x2816 -x2815 -x2814 -x2813 
1.10/1.16	v -x2812 -x2811 -x2810 -x2809 -x2808 -x2807 -x2806 -x2805 -x2804 -x2803 -x2802 -x2801 -x2800 -x2799 -x2798 -x2797 -x2796 -x2795 
1.10/1.16	v -x2794 -x2793 x2792 -x2791 -x2790 -x2789 -x2788 -x2787 -x2786 -x2785 -x2784 -x2783 -x2782 -x2781 -x2780 -x2779 -x2778 -x2777 
1.10/1.16	v -x2776 -x2775 -x2774 -x2773 -x2772 -x2771 -x2770 -x2769 -x2768 -x2767 -x2766 -x2765 x2764 -x2763 -x2762 -x2761 -x2760 -x2759 
1.10/1.16	v -x2758 -x2757 -x2756 -x2755 -x2754 -x2753 -x2752 -x2751 -x2750 -x2749 -x2748 -x2747 -x2746 -x2745 -x2744 -x2743 -x2742 
1.10/1.16	v -x2741 -x2740 -x2739 -x2738 -x2737 -x2736 -x2735 -x2734 -x2733 -x2732 -x2731 -x2730 -x2729 -x2728 -x2727 -x2726 -x2725 -x2724 
1.10/1.16	v -x2723 -x2722 -x2721 -x2720 -x2719 -x2718 -x2717 -x2716 -x2715 -x2714 -x2713 -x2712 -x2711 -x2710 -x2709 -x2708 -x2707 -x2706 
1.10/1.16	v -x2705 -x2704 -x2703 -x2702 -x2701 -x2700 -x2699 -x2698 -x2697 -x2696 -x2695 -x2694 -x2693 -x2692 -x2691 -x2690 -x2689 -x2688 
1.10/1.16	v -x2687 -x2686 -x2685 -x2684 -x2683 -x2682 -x2681 -x2680 -x2679 -x2678 -x2677 -x2676 -x2675 -x2674 -x2673 -x2672 -x2671 -x2670 
1.10/1.16	v -x2669 -x2668 -x2667 -x2666 -x2665 -x2664 -x2663 -x2662 -x2661 -x2660 -x2659 -x2658 x2657 -x2656 -x2655 -x2654 -x2653 -x2652 
1.10/1.16	v -x2651 -x2650 -x2649 -x2648 -x2647 -x2646 -x2645 -x2644 -x2643 -x2642 -x2641 -x2640 -x2639 -x2638 -x2637 -x2636 -x2635 -x2634 
1.10/1.16	v -x2633 -x2632 -x2631 x2630 -x2629 -x2628 -x2627 -x2626 -x2625 -x2624 -x2623 -x2622 -x2621 -x2620 -x2619 -x2618 -x2617 -x2616 
1.10/1.16	v -x2615 -x2614 -x2613 -x2612 -x2611 -x2610 -x2609 -x2608 -x2607 -x2606 -x2605 -x2604 -x2603 -x2602 -x2601 -x2600 -x2599 x2598 
1.10/1.16	v -x2597 -x2596 -x2595 -x2594 -x2593 -x2592 -x2591 -x2590 -x2589 -x2588 -x2587 -x2586 -x2585 -x2584 -x2583 -x2582 -x2581 -x2580 
1.10/1.16	v -x2579 -x2578 -x2577 -x2576 -x2575 -x2574 -x2573 -x2572 -x2571 -x2570 -x2569 -x2568 -x2567 -x2566 -x2565 x2564 -x2563 -x2562 
1.10/1.16	v -x2561 -x2560 -x2559 -x2558 x2557 -x2556 -x2555 -x2554 -x2553 x2552 -x2551 -x2550 -x2549 -x2548 -x2547 -x2546 -x2545 -x2544 
1.10/1.16	v x2543 x2542 -x2541 -x2540 -x2539 x2538 -x2537 -x2536 -x2535 -x2534 -x2533 -x2532 -x2531 -x2530 -x2529 -x2528 -x2527 x2526 
1.10/1.16	v -x2525 -x2524 -x2523 -x2522 -x2521 -x2520 -x2519 -x2518 -x2517 -x2516 -x2515 -x2514 -x2513 -x2512 -x2511 -x2510 -x2509 -x2508 
1.10/1.16	v -x2507 -x2506 -x2505 -x2504 -x2503 -x2502 -x2501 -x2500 -x2499 -x2498 -x2497 -x2496 -x2495 x2494 -x2493 -x2492 -x2491 -x2490 
1.10/1.16	v -x2489 -x2488 -x2487 -x2486 -x2485 -x2484 -x2483 -x2482 -x2481 -x2480 -x2479 -x2478 -x2477 -x2476 -x2475 -x2474 -x2473 -x2472 
1.10/1.16	v -x2471 -x2470 -x2469 -x2468 -x2467 -x2466 -x2465 -x2464 -x2463 -x2462 -x2461 -x2460 -x2459 -x2458 -x2457 -x2456 -x2455 -x2454 
1.10/1.16	v -x2453 -x2452 x2451 -x2450 -x2449 -x2448 -x2447 -x2446 -x2445 x2444 -x2443 -x2442 -x2441 -x2440 -x2439 -x2438 -x2437 -x2436 
1.10/1.16	v -x2435 -x2434 -x2433 -x2432 -x2431 -x2430 -x2429 -x2428 -x2427 -x2426 -x2425 -x2424 -x2423 -x2422 -x2421 -x2420 -x2419 -x2418 
1.10/1.16	v -x2417 -x2416 -x2415 -x2414 -x2413 -x2412 -x2411 -x2410 -x2409 -x2408 -x2407 -x2406 -x2405 -x2404 -x2403 -x2402 -x2401 -x2400 
1.10/1.16	v -x2399 -x2398 -x2397 -x2396 -x2395 -x2394 -x2393 -x2392 -x2391 -x2390 -x2389 -x2388 -x2387 -x2386 -x2385 -x2384 -x2383 
1.10/1.16	v -x2382 -x2381 -x2380 -x2379 -x2378 -x2377 -x2376 -x2375 -x2374 -x2373 -x2372 -x2371 -x2370 -x2369 -x2368 -x2367 -x2366 -x2365 
1.10/1.16	v -x2364 -x2363 -x2362 -x2361 -x2360 -x2359 -x2358 -x2357 -x2356 -x2355 -x2354 -x2353 -x2352 -x2351 -x2350 -x2349 -x2348 -x2347 
1.10/1.16	v -x2346 -x2345 -x2344 -x2343 -x2342 -x2341 -x2340 -x2339 -x2338 -x2337 -x2336 x2335 -x2334 -x2333 -x2332 -x2331 x2330 -x2329 
1.10/1.16	v x2328 -x2327 -x2326 -x2325 -x2324 -x2323 -x2322 -x2321 -x2320 -x2319 -x2318 -x2317 x2316 -x2315 -x2314 -x2313 -x2312 -x2311 
1.10/1.16	v -x2310 -x2309 -x2308 -x2307 -x2306 -x2305 -x2304 -x2303 -x2302 -x2301 -x2300 -x2299 -x2298 -x2297 -x2296 -x2295 -x2294 -x2293 
1.10/1.16	v -x2292 -x2291 -x2290 -x2289 -x2288 -x2287 -x2286 -x2285 -x2284 -x2283 -x2282 -x2281 x2280 -x2279 -x2278 -x2277 -x2276 -x2275 
1.10/1.16	v -x2274 -x2273 x2272 x2271 -x2270 -x2269 -x2268 -x2267 -x2266 -x2265 -x2264 -x2263 -x2262 -x2261 -x2260 -x2259 -x2258 -x2257 
1.10/1.16	v -x2256 -x2255 -x2254 -x2253 -x2252 -x2251 -x2250 -x2249 -x2248 -x2247 x2246 -x2245 -x2244 -x2243 -x2242 -x2241 -x2240 -x2239 
1.10/1.16	v x2238 -x2237 -x2236 -x2235 -x2234 -x2233 -x2232 -x2231 -x2230 -x2229 -x2228 -x2227 -x2226 -x2225 -x2224 -x2223 -x2222 -x2221 
1.10/1.16	v -x2220 -x2219 -x2218 -x2217 -x2216 x2215 -x2214 -x2213 -x2212 -x2211 -x2210 -x2209 -x2208 -x2207 x2206 -x2205 -x2204 -x2203 -x2202 
1.10/1.16	v -x2201 -x2200 -x2199 -x2198 -x2197 -x2196 -x2195 -x2194 -x2193 -x2192 -x2191 -x2190 -x2189 -x2188 -x2187 -x2186 -x2185 
1.10/1.16	v -x2184 -x2183 -x2182 -x2181 x2180 -x2179 -x2178 -x2177 -x2176 -x2175 -x2174 -x2173 x2172 -x2171 -x2170 -x2169 -x2168 -x2167 x2166 
1.10/1.16	v -x2165 -x2164 -x2163 -x2162 -x2161 -x2160 -x2159 -x2158 x2157 -x2156 -x2155 -x2154 -x2153 -x2152 -x2151 -x2150 -x2149 -x2148 
1.10/1.16	v -x2147 -x2146 -x2145 -x2144 -x2143 -x2142 -x2141 -x2140 -x2139 -x2138 -x2137 -x2136 -x2135 x2134 x2133 -x2132 -x2131 -x2130 
1.10/1.16	v -x2129 -x2128 -x2127 -x2126 -x2125 -x2124 -x2123 -x2122 -x2121 -x2120 -x2119 -x2118 -x2117 -x2116 x2115 -x2114 -x2113 -x2112 
1.10/1.16	v x2111 -x2110 -x2109 -x2108 -x2107 -x2106 -x2105 -x2104 -x2103 -x2102 -x2101 -x2100 -x2099 -x2098 -x2097 -x2096 -x2095 -x2094 
1.10/1.16	v -x2093 -x2092 -x2091 x2090 -x2089 -x2088 -x2087 -x2086 -x2085 -x2084 -x2083 -x2082 -x2081 -x2080 -x2079 -x2078 -x2077 -x2076 
1.10/1.16	v -x2075 -x2074 -x2073 -x2072 -x2071 -x2070 -x2069 -x2068 -x2067 -x2066 -x2065 -x2064 -x2063 -x2062 -x2061 -x2060 -x2059 -x2058 
1.10/1.16	v -x2057 -x2056 -x2055 -x2054 -x2053 -x2052 -x2051 x2050 -x2049 -x2048 -x2047 -x2046 -x2045 -x2044 -x2043 -x2042 -x2041 -x2040 
1.10/1.16	v -x2039 -x2038 -x2037 -x2036 -x2035 -x2034 -x2033 -x2032 -x2031 -x2030 x2029 -x2028 -x2027 x2026 -x2025 -x2024 -x2023 -x2022 
1.10/1.16	v -x2021 -x2020 -x2019 -x2018 x2017 -x2016 -x2015 -x2014 -x2013 -x2012 -x2011 -x2010 -x2009 -x2008 -x2007 -x2006 -x2005 -x2004 
1.10/1.16	v -x2003 -x2002 -x2001 -x2000 -x1999 x1998 -x1997 -x1996 -x1995 -x1994 -x1993 -x1992 -x1991 -x1990 -x1989 -x1988 -x1987 -x1986 
1.10/1.16	v x1985 -x1984 -x1983 -x1982 -x1981 -x1980 -x1979 -x1978 -x1977 -x1976 -x1975 -x1974 -x1973 -x1972 -x1971 -x1970 -x1969 -x1968 
1.10/1.16	v -x1967 -x1966 -x1965 -x1964 -x1963 x1962 -x1961 -x1960 -x1959 -x1958 -x1957 -x1956 -x1955 -x1954 x1953 -x1952 -x1951 -x1950 
1.10/1.16	v -x1949 -x1948 -x1947 -x1946 -x1945 -x1944 -x1943 -x1942 -x1941 -x1940 -x1939 -x1938 -x1937 -x1936 -x1935 -x1934 -x1933 -x1932 
1.10/1.16	v -x1931 -x1930 -x1929 -x1928 -x1927 -x1926 -x1925 x1924 -x1923 -x1922 -x1921 -x1920 -x1919 -x1918 x1917 -x1916 -x1915 -x1914 
1.10/1.16	v -x1913 -x1912 x1911 -x1910 -x1909 -x1908 -x1907 -x1906 -x1905 -x1904 -x1903 -x1902 -x1901 -x1900 -x1899 -x1898 -x1897 -x1896 
1.10/1.16	v -x1895 -x1894 -x1893 -x1892 -x1891 -x1890 -x1889 -x1888 -x1887 -x1886 -x1885 -x1884 -x1883 -x1882 -x1881 -x1880 -x1879 -x1878 
1.10/1.16	v -x1877 -x1876 -x1875 -x1874 -x1873 -x1872 -x1871 -x1870 -x1869 -x1868 -x1867 -x1866 -x1865 -x1864 -x1863 -x1862 -x1861 -x1860 
1.10/1.16	v -x1859 -x1858 -x1857 -x1856 -x1855 -x1854 -x1853 -x1852 -x1851 -x1850 -x1849 -x1848 -x1847 -x1846 -x1845 -x1844 -x1843 -x1842 
1.10/1.16	v x1841 -x1840 -x1839 -x1838 -x1837 -x1836 -x1835 -x1834 -x1833 -x1832 x1831 -x1830 -x1829 -x1828 x1827 -x1826 -x1825 -x1824 
1.10/1.16	v -x1823 -x1822 -x1821 -x1820 -x1819 -x1818 -x1817 x1816 -x1815 -x1814 -x1813 -x1812 -x1811 -x1810 -x1809 -x1808 -x1807 -x1806 
1.10/1.16	v -x1805 -x1804 -x1803 -x1802 -x1801 -x1800 x1799 -x1798 -x1797 x1796 -x1795 -x1794 -x1793 -x1792 -x1791 -x1790 -x1789 -x1788 
1.10/1.16	v -x1787 -x1786 -x1785 x1784 -x1783 -x1782 -x1781 -x1780 -x1779 -x1778 -x1777 -x1776 -x1775 x1774 -x1773 -x1772 -x1771 -x1770 
1.10/1.16	v -x1769 -x1768 x1767 -x1766 -x1765 -x1764 -x1763 -x1762 -x1761 -x1760 x1759 -x1758 x1757 -x1756 -x1755 -x1754 -x1753 -x1752 
1.10/1.16	v -x1751 -x1750 -x1749 -x1748 -x1747 -x1746 -x1745 x1744 -x1743 -x1742 -x1741 -x1740 -x1739 -x1738 -x1737 -x1736 -x1735 -x1734 
1.10/1.16	v x1733 -x1732 -x1731 -x1730 -x1729 -x1728 -x1727 x1726 -x1725 x1724 -x1723 -x1722 -x1721 -x1720 -x1719 -x1718 x1717 -x1716 -x1715 
1.10/1.16	v -x1714 -x1713 x1712 x1711 -x1710 x1709 -x1708 x1707 x1706 x1705 x1704 x1703 x1702 x1701 x1700 x1699 x1698 x1697 x1696 x1695 
1.10/1.16	v x1694 -x1693 x1692 x1691 -x1690 -x1689 -x1688 x1687 x1686 -x1685 x1684 -x1683 -x1682 -x1681 x1680 x1679 x1678 x1677 -x1676 
1.10/1.16	v -x1675 -x1674 x1673 x1672 x1671 x1670 x1669 -x1668 x1667 x1666 x1665 x1664 x1663 -x1662 x1661 x1660 x1659 x1658 x1657 x1656 
1.10/1.16	v x1655 x1654 x1653 x1652 x1651 x1650 x1649 x1648 x1647 x1646 x1645 x1644 x1643 -x1642 x1641 -x1640 x1639 x1638 x1637 x1636 x1635 
1.10/1.16	v x1634 x1633 x1632 x1631 x1630 x1629 x1628 x1627 x1626 x1625 -x1624 x1623 x1622 x1621 x1620 x1619 x1618 x1617 x1616 x1615 x1614 
1.10/1.16	v x1613 x1612 x1611 x1610 x1609 x1608 x1607 x1606 x1605 x1604 x1603 x1602 x1601 x1600 x1599 x1598 x1597 x1596 x1595 x1594 
1.10/1.16	v x1593 -x1592 x1591 x1590 x1589 x1588 x1587 -x1586 x1585 x1584 x1583 x1582 x1581 -x1580 -x1579 -x1578 x1577 x1576 x1575 x1574 
1.10/1.16	v x1573 x1572 x1571 x1570 -x1569 x1568 x1567 x1566 x1565 x1564 x1563 x1562 x1561 x1560 x1559 x1558 -x1557 x1556 x1555 x1554 -x1553 
1.10/1.16	v x1552 x1551 -x1550 x1549 x1548 x1547 x1546 x1545 x1544 x1543 x1542 x1541 -x1540 x1539 -x1538 -x1537 x1536 x1535 x1534 x1533 
1.10/1.16	v x1532 x1531 x1530 x1529 -x1528 x1527 x1526 -x1525 -x1524 x1523 -x1522 x1521 x1520 -x1519 x1518 -x1517 x1516 x1515 x1514 x1513 
1.10/1.16	v x1512 x1511 x1510 -x1509 x1508 x1507 -x1506 -x1505 x1504 x1503 x1502 x1501 -x1500 x1499 x1498 x1497 x1496 x1495 x1494 -x1493 
1.10/1.16	v x1492 x1491 x1490 x1489 x1488 x1487 x1486 x1485 x1484 x1483 x1482 x1481 x1480 x1479 x1478 x1477 x1476 x1475 x1474 x1473 x1472 
1.10/1.16	v x1471 -x1470 x1469 -x1468 -x1467 x1466 x1465 -x1464 -x1463 x1462 x1461 x1460 -x1459 x1458 x1457 x1456 x1455 x1454 x1453 
1.10/1.16	v x1452 x1451 x1450 x1449 x1448 x1447 -x1446 -x1445 -x1444 -x1443 -x1442 x1441 x1440 -x1439 -x1438 x1437 -x1436 x1435 x1434 x1433 
1.10/1.16	v x1432 x1431 x1430 x1429 x1428 x1427 -x1426 -x1425 -x1424 -x1423 -x1422 -x1421 -x1420 x1419 x1418 x1417 x1416 -x1415 x1414 
1.10/1.16	v x1413 x1412 x1411 x1410 -x1409 x1408 -x1407 x1406 -x1405 x1404 -x1403 x1402 -x1401 x1400 -x1399 x1398 -x1397 x1396 x1395 x1394 
1.10/1.16	v x1393 -x1392 -x1391 x1390 x1389 x1388 x1387 x1386 -x1385 -x1384 x1383 x1382 x1381 x1380 x1379 x1378 x1377 x1376 -x1375 -x1374 
1.10/1.16	v x1373 -x1372 x1371 x1370 x1369 x1368 x1367 -x1366 x1365 x1364 x1363 x1362 -x1361 x1360 x1359 x1358 x1357 x1356 x1355 x1354 
1.10/1.16	v x1353 x1352 x1351 x1350 x1349 x1348 x1347 x1346 -x1345 -x1344 -x1343 -x1342 -x1341 -x1340 -x1339 x1338 x1337 x1336 -x1335 -x1334 
1.10/1.16	v -x1333 x1332 x1331 x1330 x1329 x1328 x1327 x1326 x1325 x1324 -x1323 -x1322 x1321 -x1320 -x1319 -x1318 x1317 x1316 x1315 
1.10/1.16	v x1314 x1313 -x1312 x1311 x1310 x1309 x1308 -x1307 x1306 x1305 x1304 -x1303 x1302 -x1301 -x1300 -x1299 -x1298 x1297 x1296 x1295 
1.10/1.16	v x1294 x1293 x1292 -x1291 x1290 -x1289 -x1288 x1287 x1286 x1285 -x1284 -x1283 -x1282 x1281 -x1280 x1279 -x1278 -x1277 -x1276 
1.10/1.16	v -x1275 -x1274 -x1273 -x1272 -x1271 -x1270 x1269 x1268 -x1267 x1266 -x1265 x1264 x1263 -x1262 x1261 x1260 -x1259 x1258 -x1257 
1.10/1.16	v x1256 x1255 x1254 -x1253 x1252 x1251 x1250 -x1249 -x1248 x1247 -x1246 x1245 x1244 x1243 x1242 x1241 x1240 x1239 x1238 x1237 
1.10/1.16	v -x1236 -x1235 x1234 x1233 -x1232 x1231 x1230 -x1229 -x1228 -x1227 x1226 -x1225 x1224 x1223 x1222 x1221 -x1220 -x1219 x1218 -x1217 
1.10/1.16	v -x1216 x1215 -x1214 -x1213 -x1212 -x1211 x1210 x1209 x1208 x1207 x1206 x1205 x1204 x1203 x1202 -x1201 x1200 x1199 x1198 
1.10/1.16	v x1197 x1196 -x1195 x1194 x1193 x1192 x1191 x1190 x1189 x1188 x1187 -x1186 -x1185 -x1184 x1183 -x1182 x1181 x1180 -x1179 x1178 
1.10/1.16	v x1177 x1176 x1175 x1174 x1173 x1172 -x1171 x1170 x1169 -x1168 x1167 x1166 x1165 -x1164 -x1163 x1162 x1161 x1160 x1159 x1158 
1.10/1.16	v x1157 x1156 x1155 x1154 x1153 x1152 x1151 x1150 x1149 x1148 x1147 x1146 x1145 x1144 x1143 x1142 -x1141 x1140 x1139 -x1138 x1137 
1.10/1.16	v x1136 x1135 x1134 x1133 -x1132 -x1131 -x1130 x1129 x1128 x1127 x1126 x1125 x1124 x1123 -x1122 x1121 x1120 x1119 x1118 x1117 
1.10/1.16	v x1116 x1115 x1114 x1113 x1112 -x1111 x1110 x1109 x1108 x1107 x1106 -x1105 x1104 x1103 x1102 x1101 -x1100 x1099 x1098 x1097 
1.10/1.16	v x1096 -x1095 x1094 x1093 x1092 x1091 -x1090 -x1089 x1088 x1087 x1086 x1085 x1084 x1083 x1082 x1081 x1080 x1079 x1078 x1077 -x1076 
1.10/1.16	v x1075 -x1074 x1073 x1072 x1071 x1070 x1069 x1068 x1067 x1066 x1065 -x1064 x1063 x1062 -x1061 x1060 x1059 x1058 x1057 x1056 
1.10/1.16	v x1055 x1054 x1053 -x1052 -x1051 x1050 x1049 x1048 x1047 x1046 x1045 x1044 x1043 x1042 x1041 x1040 -x1039 -x1038 -x1037 -x1036 
1.10/1.16	v -x1035 -x1034 -x1033 -x1032 -x1031 x1030 x1029 x1028 x1027 x1026 x1025 x1024 -x1023 -x1022 -x1021 x1020 x1019 x1018 -x1017 
1.10/1.16	v -x1016 -x1015 -x1014 -x1013 -x1012 x1011 x1010 -x1009 x1008 x1007 x1006 -x1005 -x1004 x1003 x1002 x1001 x1000 x999 x998 x997 
1.10/1.16	v x996 x995 x994 x993 x992 x991 x990 x989 x988 x987 -x986 x985 -x984 x983 x982 x981 x980 -x979 x978 x977 -x976 x975 -x974 x973 
1.10/1.16	v x972 x971 x970 x969 x968 x967 x966 x965 x964 x963 x962 x961 -x960 -x959 -x958 x957 -x956 x955 x954 -x953 -x952 -x951 x950 -x949 
1.10/1.16	v -x948 x947 x946 x945 x944 x943 x942 x941 -x940 x939 x938 x937 x936 x935 x934 x933 -x932 x931 x930 -x929 x928 x927 x926 x925 
1.10/1.16	v x924 x923 x922 x921 -x920 -x919 x918 -x917 -x916 -x915 x914 x913 x912 x911 x910 x909 x908 x907 -x906 x905 x904 x903 -x902 
1.10/1.16	v x901 x900 x899 -x898 x897 x896 x895 x894 x893 x892 x891 x890 x889 -x888 x887 -x886 -x885 x884 -x883 x882 x881 -x880 x879 x878 
1.10/1.16	v x877 x876 x875 x874 x873 x872 x871 x870 x869 x868 x867 -x866 x865 x864 x863 x862 x861 x860 x859 x858 x857 x856 x855 x854 x853 
1.10/1.16	v -x852 -x851 x850 x849 x848 x847 x846 x845 x844 x843 x842 -x841 x840 -x839 x838 -x837 -x836 x835 x834 -x833 -x832 x831 -x830 
1.10/1.16	v x829 -x828 x827 x826 -x825 x824 -x823 x822 x821 -x820 -x819 x818 -x817 x816 -x815 x814 x813 -x812 -x811 -x810 -x809 x808 -x807 
1.10/1.16	v x806 -x805 -x804 x803 x802 x801 x800 x799 -x798 x797 x796 x795 x794 x793 -x792 -x791 -x790 -x789 x788 -x787 -x786 -x785 -x784 
1.10/1.16	v -x783 -x782 x781 x780 x779 x778 x777 -x776 x775 -x774 x773 -x772 -x771 x770 -x769 -x768 x767 -x766 x765 x764 -x763 -x762 
1.10/1.16	v x761 x760 -x759 -x758 x757 -x756 x755 x754 -x753 x752 x751 x750 x749 x748 x747 x746 x745 x744 x743 x742 x741 x740 x739 x738 
1.10/1.16	v x737 x736 x735 -x734 x733 -x732 x731 x730 x729 x728 x727 x726 -x725 x724 -x723 -x722 x721 -x720 -x719 -x718 -x717 -x716 -x715 
1.10/1.16	v -x714 x713 -x712 -x711 -x710 -x709 x708 x707 x706 x705 x704 x703 -x702 -x701 -x700 x699 x698 -x697 -x696 -x695 -x694 x693 x692 
1.10/1.16	v x691 x690 x689 x688 -x687 -x686 -x685 -x684 x683 x682 x681 x680 x679 x678 x677 x676 x675 x674 x673 -x672 x671 x670 x669 x668 
1.10/1.16	v x667 x666 -x665 -x664 x663 x662 x661 -x660 x659 x658 x657 x656 -x655 x654 x653 -x652 x651 x650 -x649 -x648 -x647 -x646 -x645 
1.10/1.16	v -x644 -x643 -x642 x641 -x640 -x639 x638 x637 x636 x635 x634 x633 x632 x631 -x630 x629 x628 -x627 x626 -x625 -x624 -x623 -x622 
1.10/1.16	v -x621 x620 -x619 -x618 -x617 x616 -x615 -x614 -x613 -x612 -x611 -x610 -x609 -x608 -x607 -x606 -x605 -x604 x603 -x602 -x601 
1.10/1.16	v x600 -x599 x598 x597 x596 x595 x594 x593 -x592 x591 x590 -x589 -x588 x587 -x586 x585 -x584 -x583 -x582 -x581 x580 x579 -x578 
1.10/1.16	v -x577 -x576 x575 x574 -x573 -x572 -x571 -x570 -x569 x568 -x567 -x566 -x565 -x564 -x563 -x562 -x561 -x560 -x559 -x558 -x557 
1.10/1.16	v x556 x555 -x554 x553 x552 x551 x550 x549 -x548 x547 x546 -x545 x544 -x543 x542 x541 x540 -x539 -x538 -x537 -x536 -x535 -x534 
1.10/1.16	v x533 x532 x531 x530 x529 x528 x527 x526 x525 x524 x523 x522 -x521 -x520 -x519 -x518 -x517 -x516 -x515 -x514 -x513 -x512 -x511 
1.10/1.16	v -x510 -x509 -x508 -x507 -x506 -x505 -x504 x503 x502 x501 -x500 x499 -x498 -x497 -x496 -x495 -x494 -x493 -x492 x491 -x490 x489 
1.10/1.16	v x488 x487 x486 x485 x484 x483 -x482 -x481 x480 x479 -x478 x477 x476 x475 x474 -x473 -x472 x471 x470 x469 -x468 x467 -x466 -x465 
1.10/1.16	v x464 -x463 x462 x461 -x460 -x459 -x458 x457 -x456 -x455 -x454 x453 -x452 -x451 -x450 -x449 -x448 -x447 -x446 -x445 x444 
1.10/1.16	v -x443 -x442 -x441 -x440 -x439 x438 -x437 x436 -x435 -x434 -x433 -x432 -x431 x430 x429 -x428 -x427 x426 -x425 -x424 x423 x422 
1.10/1.16	v x421 x420 -x419 x418 -x417 x416 x415 x414 x413 x412 x411 x410 x409 x408 x407 x406 x405 x404 x403 -x402 -x401 x400 -x399 x398 
1.10/1.16	v x397 -x396 x395 x394 -x393 x392 -x391 -x390 -x389 -x388 x387 -x386 x385 x384 -x383 x382 x381 x380 x379 x378 x377 -x376 x375 x374 
1.10/1.16	v x373 x372 x371 x370 x369 x368 x367 x366 x365 x364 x363 x362 x361 x360 x359 x358 x357 x356 x355 x354 -x353 -x352 -x351 x350 
1.10/1.16	v -x349 x348 x347 x346 -x345 x344 x343 x342 x341 x340 x339 x338 x337 x336 -x335 x334 x333 x332 x331 x330 x329 -x328 x327 x326 
1.10/1.16	v x325 x324 x323 x322 -x321 x320 x319 x318 -x317 x316 x315 x314 x313 x312 x311 -x310 x309 x308 -x307 x306 -x305 x304 x303 x302 
1.10/1.16	v -x301 x300 x299 x298 x297 x296 -x295 -x294 -x293 -x292 -x291 -x290 -x289 -x288 -x287 x286 x285 x284 -x283 x282 x281 x280 x279 
1.10/1.16	v x278 -x277 x276 -x275 x274 x273 -x272 x271 x270 -x269 x268 -x267 -x266 -x265 -x264 -x263 x262 -x261 x260 x259 -x258 -x257 x256 
1.10/1.16	v x255 -x254 -x253 x252 x251 x250 x249 x248 -x247 -x246 -x245 x244 x243 x242 x241 x240 x239 -x238 -x237 x236 x235 x234 x233 
1.10/1.16	v x232 x231 x230 x229 -x228 -x227 -x226 x225 -x224 -x223 -x222 -x221 x220 -x219 -x218 -x217 -x216 x215 x214 -x213 x212 x211 -x210 
1.10/1.16	v x209 x208 x207 x206 x205 x204 x203 -x202 x201 x200 x199 -x198 x197 x196 x195 x194 x193 x192 x191 x190 -x189 -x188 -x187 -x186 
1.10/1.16	v -x185 x184 -x183 x182 -x181 x180 -x179 x178 x177 x176 -x175 x174 x173 -x172 x171 x170 x169 x168 x167 -x166 -x165 x164 x163 
1.10/1.16	v x162 x161 -x160 x159 x158 -x157 x156 x155 x154 x153 x152 x151 x150 x149 x148 x147 x146 x145 -x144 -x143 -x142 -x141 -x140 
1.10/1.16	v -x139 -x138 -x137 -x136 -x135 -x134 -x133 -x132 -x131 x130 -x129 -x128 x127 -x126 -x125 -x124 -x123 -x122 x121 x120 x119 x118 
1.10/1.16	v x117 -x116 x115 x114 x113 x112 -x111 x110 x109 x108 -x107 x106 x105 x104 -x103 x102 x101 x100 x99 x98 x97 x96 x95 x94 x93 -x92 
1.10/1.16	v x91 x90 x89 x88 x87 -x86 x85 x84 x83 x82 x81 x80 x79 x78 x77 x76 x75 x74 -x73 x72 x71 x70 x69 x68 x67 x66 -x65 -x64 x63 x62 
1.10/1.16	v x61 x60 x59 x58 -x57 x56 x55 x54 x53 x52 x51 x50 x49 x48 x47 -x46 x45 x44 x43 x42 -x41 -x40 x39 -x38 x37 x36 x35 -x34 x33 x32 
1.10/1.16	v x31 x30 x29 -x28 -x27 -x26 -x25 -x24 -x23 x22 -x21 x20 x19 -x18 -x17 -x16 -x15 -x14 x13 x12 -x11 -x10 x9 -x8 x7 x6 x5 x4 x3 
1.10/1.16	v x2 x1 -x5835 -x5834 -x5833 -x5832 -x5831 -x5830 -x5829 -x5828 -x5827 -x5826 -x5825 -x5824 -x5823 -x5822 -x5821 -x5820 -x5819 
1.10/1.16	v -x5818 -x5817 -x5816 -x5815 -x5814 -x5813 -x5812 -x5811 -x5810 -x5809 -x5808 -x5807 -x5806 -x5805 -x5804 -x5803 -x5802 -x5801 
1.10/1.16	v -x5800 -x5799 -x5798 -x5797 -x5796 -x5795 -x5794 -x5793 -x5792 -x5791 -x5790 -x5789 -x5788 -x5787 -x5786 -x5785 -x5784 -x5783 
1.10/1.16	v -x5782 -x5781 -x5780 -x5779 -x5778 -x5777 -x5776 -x5775 -x5774 -x5773 -x5772 -x5771 -x5770 -x5769 -x5768 -x5767 -x5766 -x5765 
1.10/1.16	v -x5764 -x5763 -x5762 -x5761 -x5760 -x5759 -x5758 -x5757 -x5756 -x5755 -x5754 -x5753 -x5752 -x5751 -x5750 -x5749 -x5748 -x5747 
1.10/1.16	v -x5746 -x5745 -x5744 -x5743 -x5742 -x5741 -x5740 -x5739 -x5738 -x5737 -x5736 -x5735 -x5734 -x5733 -x5732 -x5731 -x5730 
1.10/1.16	v -x5729 -x5728 -x5727 -x5726 -x5725 -x5724 -x5723 -x5722 -x5721 -x5720 -x5719 -x5718 -x5717 -x5716 -x5715 -x5714 -x5713 -x5712 
1.10/1.16	v -x5711 -x5710 -x5709 -x5708 -x5707 -x5706 -x5705 -x5704 -x5703 -x5702 -x5701 -x5700 -x5699 -x5698 -x5697 -x5696 -x5695 -x5694 
1.10/1.16	v -x5693 -x5692 -x5691 -x5690 -x5689 -x5688 -x5687 -x5686 -x5685 -x5684 -x5683 -x5682 -x5681 -x5680 -x5679 -x5678 -x5677 -x5676 
1.10/1.16	v -x5675 -x5674 -x5673 -x5672 -x5671 -x5670 -x5669 -x5668 -x5667 -x5666 -x5665 -x5664 -x5663 -x5662 -x5661 -x5660 -x5659 -x5658 
1.10/1.16	v -x5657 -x5656 -x5655 -x5654 -x5653 -x5652 -x5651 -x5650 -x5649 -x5648 -x5647 -x5646 -x5645 -x5644 -x5643 -x5642 -x5641 -x5640 
1.10/1.16	v -x5639 -x5638 -x5637 -x5636 -x5635 -x5634 -x5633 -x5632 -x5631 -x5630 -x5629 -x5628 -x5627 -x5626 -x5625 -x5624 -x5623 -x5622 
1.10/1.16	v -x5621 -x5620 -x5619 -x5618 -x5617 -x5616 -x5615 -x5614 -x5613 -x5612 -x5611 -x5610 -x5609 -x5608 -x5607 -x5606 -x5605 
1.10/1.16	v -x5604 -x5603 -x5602 -x5601 -x5600 -x5599 -x5598 -x5597 -x5596 -x5595 -x5594 -x5593 -x5592 -x5591 -x5590 -x5589 -x5588 -x5587 
1.10/1.16	v -x5586 -x5585 -x5584 -x5583 -x5582 -x5581 -x5580 -x5579 -x5578 -x5577 -x5576 -x5575 -x5574 -x5573 -x5572 -x5571 -x5570 -x5569 
1.10/1.16	v -x5568 -x5567 -x5566 -x5565 -x5564 -x5563 -x5562 -x5561 -x5560 -x5559 -x5558 -x5557 -x5556 -x5555 -x5554 -x5553 -x5552 -x5551 
1.10/1.16	v -x5550 -x5549 -x5548 -x5547 -x5546 -x5545 -x5544 -x5543 -x5542 -x5541 -x5540 -x5539 -x5538 -x5537 -x5536 -x5535 -x5534 -x5533 
1.10/1.16	v -x5532 -x5531 -x5530 -x5529 -x5528 -x5527 -x5526 -x5525 -x5524 -x5523 -x5522 -x5521 -x5520 -x5519 -x5518 -x5517 -x5516 -x5515 
1.10/1.16	v -x5514 -x5513 -x5512 -x5511 -x5510 -x5509 -x5508 -x5507 -x5506 -x5505 -x5504 -x5503 -x5502 -x5501 -x5500 -x5499 -x5498 -x5497 
1.10/1.16	v -x5496 -x5495 -x5494 -x5493 -x5492 -x5491 -x5490 -x5489 -x5488 -x5487 -x5486 -x5485 -x5484 -x5483 -x5482 -x5481 -x5480 
1.10/1.16	v -x5479 -x5478 -x5477 -x5476 -x5475 -x5474 -x5473 -x5472 -x5471 -x5470 -x5469 -x5468 -x5467 -x5466 -x5465 -x5464 -x5463 -x5462 
1.10/1.16	v -x5461 -x5460 -x5459 -x5458 -x5457 -x5456 -x5455 -x5454 -x5453 -x5452 -x5451 -x5450 -x5449 -x5448 -x5447 -x5446 -x5445 -x5444 
1.10/1.16	v -x5443 -x5442 -x5441 -x5440 -x5439 -x5438 -x5437 -x5436 -x5435 -x5434 -x5433 -x5432 -x5431 -x5430 -x5429 -x5428 -x5427 -x5426 
1.10/1.16	v -x5425 -x5424 -x5423 -x5422 -x5421 -x5420 -x5419 -x5418 -x5417 -x5416 -x5415 -x5414 -x5413 -x5412 -x5411 -x5410 -x5409 -x5408 
1.10/1.16	v -x5407 -x5406 -x5405 -x5404 -x5403 -x5402 -x5401 -x5400 -x5399 -x5398 -x5397 -x5396 -x5395 -x5394 -x5393 -x5392 -x5391 -x5390 
1.10/1.16	v -x5389 -x5388 -x5387 -x5386 -x5385 -x5384 -x5383 -x5382 -x5381 -x5380 -x5379 -x5378 -x5377 -x5376 -x5375 -x5374 -x5373 -x5372 
1.10/1.16	v -x5371 -x5370 -x5369 -x5368 -x5367 -x5366 -x5365 -x5364 -x5363 -x5362 -x5361 -x5360 -x5359 -x5358 -x5357 -x5356 -x5355 
1.10/1.16	v -x5354 -x5353 -x5352 -x5351 -x5350 -x5349 -x5348 -x5347 -x5346 -x5345 -x5344 -x5343 -x5342 -x5341 -x5340 -x5339 -x5338 -x5337 
1.10/1.16	v -x5336 -x5335 -x5334 -x5333 -x5332 -x5331 -x5330 -x5329 -x5328 -x5327 -x5326 -x5325 -x5324 -x5323 -x5322 -x5321 -x5320 -x5319 
1.10/1.16	v -x5318 -x5317 -x5316 -x5315 -x5314 -x5313 -x5312 -x5311 -x5310 -x5309 -x5308 -x5307 -x5306 -x5305 -x5304 -x5303 -x5302 -x5301 
1.10/1.16	v -x5300 -x5299 -x5298 -x5297 -x5296 -x5295 -x5294 -x5293 -x5292 -x5291 -x5290 -x5289 -x5288 -x5287 -x5286 -x5285 -x5284 -x5283 
1.10/1.16	v -x5282 -x5281 -x5280 -x5279 -x5278 -x5277 -x5276 -x5275 -x5274 -x5273 -x5272 -x5271 -x5270 -x5269 -x5268 -x5267 -x5266 -x5265 
1.10/1.16	v -x5264 -x5263 -x5262 -x5261 -x5260 -x5259 -x5258 -x5257 -x5256 -x5255 -x5254 -x5253 -x5252 -x5251 -x5250 -x5249 -x5248 -x5247 
1.10/1.16	v -x5246 -x5245 -x5244 -x5243 -x5242 -x5241 -x5240 -x5239 -x5238 -x5237 -x5236 -x5235 -x5234 -x5233 -x5232 -x5231 -x5230 
1.10/1.16	v -x5229 -x5228 -x5227 -x5226 -x5225 -x5224 -x5223 -x5222 -x5221 -x5220 -x5219 -x5218 -x5217 -x5216 -x5215 -x5214 -x5213 -x5212 
1.10/1.16	v -x5211 -x5210 -x5209 -x5208 -x5207 -x5206 -x5205 -x5204 -x5203 -x5202 -x5201 -x5200 -x5199 -x5198 -x5197 -x5196 -x5195 -x5194 
1.10/1.16	v -x5193 -x5192 -x5191 -x5190 -x5189 -x5188 -x5187 -x5186 -x5185 -x5184 -x5183 -x5182 -x5181 -x5180 -x5179 -x5178 -x5177 -x5176 
1.10/1.16	v -x5175 -x5174 -x5173 -x5172 -x5171 -x5170 -x5169 -x5168 -x5167 -x5166 -x5165 -x5164 -x5163 -x5162 -x5161 -x5160 -x5159 -x5158 
1.10/1.16	v -x5157 -x5156 -x5155 -x5154 -x5153 -x5152 -x5151 -x5150 -x5149 -x5148 -x5147 -x5146 -x5145 -x5144 -x5143 -x5142 -x5141 -x5140 
1.10/1.16	v -x5139 -x5138 -x5137 -x5136 -x5135 -x5134 -x5133 -x5132 -x5131 -x5130 -x5129 -x5128 -x5127 -x5126 -x5125 -x5124 -x5123 -x5122 
1.10/1.16	v -x5121 -x5120 -x5119 -x5118 -x5117 -x5116 -x5115 -x5114 -x5113 -x5112 -x5111 -x5110 -x5109 -x5108 -x5107 -x5106 -x5105 
1.10/1.16	v -x5104 -x5103 -x5102 -x5101 -x5100 -x5099 -x5098 -x5097 -x5096 -x5095 -x5094 -x5093 -x5092 -x5091 -x5090 -x5089 -x5088 -x5087 
1.10/1.16	v -x5086 -x5085 -x5084 -x5083 -x5082 -x5081 -x5080 -x5079 -x5078 -x5077 -x5076 -x5075 -x5074 -x5073 -x5072 -x5071 -x5070 -x5069 
1.10/1.16	v -x5068 -x5067 -x5066 -x5065 -x5064 -x5063 -x5062 -x5061 -x5060 -x5059 -x5058 -x5057 -x5056 -x5055 -x5054 -x5053 -x5052 -x5051 
1.10/1.16	v -x5050 -x5049 -x5048 -x5047 -x5046 -x5045 -x5044 -x5043 -x5042 -x5041 -x5040 -x5039 -x5038 -x5037 -x5036 -x5035 -x5034 -x5033 
1.10/1.16	v -x5032 -x5031 -x5030 -x5029 -x5028 -x5027 -x5026 -x5025 -x5024 -x5023 -x5022 -x5021 -x5020 -x5019 -x5018 -x5017 -x5016 -x5015 
1.10/1.16	v -x5014 -x5013 -x5012 -x5011 -x5010 -x5009 -x5008 -x5007 -x5006 -x5005 -x5004 -x5003 -x5002 -x5001 -x5000 -x4999 -x4998 -x4997 
1.10/1.16	v -x4996 -x4995 -x4994 -x4993 -x4992 -x4991 -x4990 -x4989 -x4988 -x4987 -x4986 -x4985 -x4984 -x4983 -x4982 -x4981 -x4980 
1.10/1.16	v -x4979 -x4978 -x4977 -x4976 -x4975 -x4974 -x4973 -x4972 -x4971 -x4970 -x4969 -x4968 -x4967 -x4966 -x4965 -x4964 -x4963 -x4962 
1.10/1.16	v -x4961 -x4960 -x4959 -x4958 -x4957 -x4956 -x4955 -x4954 -x4953 -x4952 -x4951 -x4950 -x4949 -x4948 -x4947 -x4946 -x4945 -x4944 
1.10/1.16	v -x4943 -x4942 -x4941 -x4940 -x4939 -x4938 -x4937 -x4936 -x4935 -x4934 -x4933 -x4932 -x4931 -x4930 -x4929 -x4928 -x4927 -x4926 
1.10/1.16	v -x4925 -x4924 -x4923 -x4922 -x4921 -x4920 -x4919 -x4918 -x4917 -x4916 -x4915 -x4914 -x4913 -x4912 -x4911 -x4910 -x4909 -x4908 
1.10/1.16	v -x4907 -x4906 -x4905 -x4904 -x4903 -x4902 -x4901 -x4900 -x4899 -x4898 -x4897 -x4896 -x4895 -x4894 -x4893 -x4892 -x4891 -x4890 
1.10/1.16	v -x4889 -x4888 -x4887 -x4886 -x4885 -x4884 -x4883 -x4882 -x4881 -x4880 -x4879 -x4878 -x4877 -x4876 -x4875 -x4874 -x4873 -x4872 
1.10/1.16	v -x4871 -x4870 -x4869 -x4868 -x4867 -x4866 -x4865 -x4864 -x4863 -x4862 -x4861 -x4860 -x4859 -x4858 -x4857 -x4856 -x4855 
1.10/1.16	v -x4854 -x4853 -x4852 -x4851 -x4850 -x4849 -x4848 -x4847 -x4846 -x4845 -x4844 -x4843 -x4842 -x4841 -x4840 -x4839 -x4838 -x4837 
1.10/1.16	v -x4836 -x4835 -x4834 -x4833 -x4832 -x4831 -x4830 -x4829 -x4828 -x4827 -x4826 -x4825 -x4824 -x4823 -x4822 -x4821 -x4820 -x4819 
1.10/1.16	v -x4818 -x4817 -x4816 -x4815 -x4814 -x4813 -x4812 -x4811 -x4810 -x4809 -x4808 -x4807 -x4806 -x4805 -x4804 -x4803 -x4802 -x4801 
1.10/1.16	v -x4800 -x4799 -x4798 -x4797 -x4796 -x4795 -x4794 -x4793 -x4792 -x4791 -x4790 -x4789 -x4788 -x4787 -x4786 -x4785 -x4784 -x4783 
1.10/1.16	v -x4782 -x4781 -x4780 -x4779 -x4778 -x4777 -x4776 -x4775 -x4774 -x4773 -x4772 -x4771 -x4770 -x4769 -x4768 -x4767 -x4766 -x4765 
1.10/1.16	v -x4764 -x4763 -x4762 -x4761 -x4760 -x4759 -x4758 -x4757 -x4756 -x4755 -x4754 -x4753 -x4752 -x4751 -x4750 -x4749 -x4748 -x4747 
1.10/1.16	v -x4746 -x4745 -x4744 -x4743 -x4742 -x4741 -x4740 -x4739 -x4738 -x4737 -x4736 -x4735 -x4734 -x4733 -x4732 -x4731 -x4730 
1.10/1.16	v -x4729 -x4728 -x4727 -x4726 -x4725 -x4724 -x4723 -x4722 -x4721 -x4720 -x4719 -x4718 -x4717 -x4716 -x4715 -x4714 -x4713 -x4712 
1.10/1.16	v -x4711 -x4710 -x4709 -x4708 -x4707 -x4706 -x4705 -x4704 -x4703 -x4702 -x4701 -x4700 -x4699 -x4698 -x4697 -x4696 -x4695 -x4694 
1.10/1.16	v -x4693 -x4692 -x4691 -x4690 -x4689 -x4688 -x4687 -x4686 -x4685 -x4684 -x4683 -x4682 -x4681 -x4680 -x4679 -x4678 -x4677 -x4676 
1.10/1.16	v -x4675 -x4674 -x4673 -x4672 -x4671 -x4670 -x4669 -x4668 -x4667 -x4666 -x4665 -x4664 -x4663 -x4662 -x4661 -x4660 -x4659 -x4658 
1.10/1.16	v -x4657 -x4656 -x4655 -x4654 -x4653 -x4652 -x4651 -x4650 -x4649 -x4648 -x4647 -x4646 -x4645 -x4644 -x4643 -x4642 -x4641 -x4640 
1.10/1.16	v -x4639 -x4638 -x4637 -x4636 -x4635 -x4634 -x4633 -x4632 -x4631 -x4630 -x4629 -x4628 -x4627 -x4626 -x4625 -x4624 -x4623 -x4622 
1.10/1.16	v -x4621 -x4620 -x4619 -x4618 -x4617 -x4616 -x4615 -x4614 -x4613 -x4612 -x4611 -x4610 -x4609 -x4608 -x4607 -x4606 -x4605 
1.10/1.16	v -x4604 -x4603 -x4602 -x4601 -x4600 -x4599 -x4598 -x4597 -x4596 -x4595 -x4594 -x4593 -x4592 -x4591 -x4590 -x4589 -x4588 -x4587 
1.10/1.16	v -x4586 -x4585 -x4584 -x4583 -x4582 -x4581 -x4580 -x4579 -x4578 -x4577 -x4576 -x4575 -x4574 -x4573 -x4572 -x4571 -x4570 -x4569 
1.10/1.16	v -x4568 -x4567 -x4566 -x4565 -x4564 -x4563 -x4562 -x4561 -x4560 -x4559 -x4558 -x4557 -x4556 -x4555 -x4554 -x4553 -x4552 -x4551 
1.10/1.16	v -x4550 -x4549 -x4548 -x4547 -x4546 -x4545 -x4544 -x4543 -x4542 -x4541 -x4540 -x4539 -x4538 -x4537 -x4536 -x4535 -x4534 -x4533 
1.10/1.16	v -x4532 -x4531 -x4530 -x4529 -x4528 -x4527 -x4526 -x4525 -x4524 -x4523 -x4522 -x4521 -x4520 -x4519 -x4518 -x4517 -x4516 -x4515 
1.10/1.16	v -x4514 -x4513 -x4512 -x4511 -x4510 -x4509 -x4508 -x4507 -x4506 -x4505 -x4504 -x4503 -x4502 -x4501 -x4500 -x4499 -x4498 -x4497 
1.10/1.16	v -x4496 -x4495 -x4494 -x4493 -x4492 -x4491 -x4490 -x4489 -x4488 -x4487 -x4486 -x4485 -x4484 -x4483 -x4482 -x4481 -x4480 
1.10/1.16	v -x4479 -x4478 -x4477 -x4476 -x4475 -x4474 -x4473 -x4472 -x4471 -x4470 -x4469 -x4468 -x4467 -x4466 -x4465 -x4464 -x4463 -x4462 
1.10/1.16	v -x4461 -x4460 -x4459 -x4458 -x4457 -x4456 -x4455 -x4454 -x4453 -x4452 -x4451 -x4450 -x4449 -x4448 -x4447 -x4446 -x4445 -x4444 
1.10/1.16	v -x4443 -x4442 -x4441 -x4440 -x4439 -x4438 -x4437 -x4436 -x4435 -x4434 -x4433 -x4432 -x4431 -x4430 -x4429 -x4428 -x4427 -x4426 
1.10/1.16	v -x4425 -x4424 -x4423 -x4422 -x4421 -x4420 -x4419 -x4418 -x4417 -x4416 -x4415 -x4414 -x4413 -x4412 -x4411 -x4410 -x4409 -x4408 
1.10/1.16	v -x4407 -x4406 -x4405 -x4404 -x4403 -x4402 -x4401 -x4400 -x4399 -x4398 -x4397 -x4396 -x4395 -x4394 -x4393 -x4392 -x4391 -x4390 
1.10/1.16	v -x4389 -x4388 -x4387 -x4386 -x4385 -x4384 -x4383 -x4382 -x4381 -x4380 -x4379 -x4378 -x4377 -x4376 -x4375 -x4374 -x4373 -x4372 
1.10/1.16	v -x4371 -x4370 -x4369 -x4368 -x4367 -x4366 -x4365 -x4364 -x4363 -x4362 -x4361 -x4360 -x4359 -x4358 -x4357 -x4356 -x4355 
1.10/1.16	v -x4354 -x4353 -x4352 -x4351 -x4350 -x4349 -x4348 -x4347 -x4346 -x4345 -x4344 -x4343 -x4342 -x4341 -x4340 -x4339 -x4338 -x4337 
1.10/1.16	v -x4336 -x4335 -x4334 -x4333 -x4332 -x4331 -x4330 -x4329 -x4328 -x4327 -x4326 -x4325 -x4324 -x4323 -x4322 -x4321 -x4320 -x4319 
1.10/1.16	v -x4318 -x4317 -x4316 -x4315 -x4314 -x4313 -x4312 -x4311 -x4310 -x4309 -x4308 -x4307 -x4306 -x4305 -x4304 -x4303 -x4302 -x4301 
1.10/1.16	v -x4300 -x4299 -x4298 -x4297 -x4296 -x4295 -x4294 -x4293 -x4292 -x4291 -x4290 -x4289 -x4288 -x4287 -x4286 -x4285 -x4284 -x4283 
1.10/1.16	v -x4282 -x4281 -x4280 -x4279 -x4278 -x4277 -x4276 -x4275 -x4274 -x4273 -x4272 -x4271 -x4270 -x4269 -x4268 -x4267 -x4266 -x4265 
1.10/1.16	v -x4264 -x4263 -x4262 -x4261 -x4260 -x4259 -x4258 -x4257 -x4256 -x4255 -x4254 -x4253 -x4252 -x4251 -x4250 -x4249 -x4248 -x4247 
1.10/1.16	v -x4246 -x4245 -x4244 -x4243 -x4242 -x4241 -x4240 -x4239 -x4238 -x4237 -x4236 -x4235 -x4234 -x4233 -x4232 -x4231 -x4230 
1.10/1.16	v -x4229 -x4228 -x4227 -x4226 -x4225 -x4224 -x4223 -x4222 -x4221 -x4220 -x4219 -x4218 -x4217 -x4216 -x4215 -x4214 -x4213 -x4212 
1.10/1.16	v -x4211 -x4210 -x4209 -x4208 -x4207 -x4206 -x4205 -x4204 -x4203 -x4202 -x4201 -x4200 -x4199 -x4198 -x4197 -x4196 -x4195 -x4194 
1.10/1.16	v -x4193 -x4192 -x4191 -x4190 -x4189 -x4188 -x4187 -x4186 -x4185 -x4184 -x4183 -x4182 -x4181 -x4180 -x4179 -x4178 -x4177 -x4176 
1.10/1.16	v -x4175 -x4174 -x4173 -x4172 -x4171 -x4170 -x4169 -x4168 -x4167 -x4166 -x4165 -x4164 -x4163 -x4162 -x4161 -x4160 -x4159 -x4158 
1.10/1.16	v -x4157 -x4156 -x4155 -x4154 -x4153 -x4152 -x4151 -x4150 -x4149 -x4148 -x4147 -x4146 -x4145 -x4144 -x4143 -x4142 -x4141 -x4140 
1.10/1.16	v -x4139 -x4138 -x4137 -x4136 -x4135 -x4134 -x4133 -x4132 -x4131 -x4130 -x4129 -x4128 -x4127 -x4126 -x4125 -x4124 -x4123 -x4122 
1.10/1.16	v -x4121 -x4120 -x4119 -x4118 -x4117 -x4116 -x4115 -x4114 -x4113 -x4112 -x4111 -x4110 -x4109 -x4108 -x4107 -x4106 -x4105 
1.10/1.16	v -x4104 -x4103 -x4102 -x4101 -x4100 -x4099 -x4098 -x4097 -x4096 -x4095 -x4094 -x4093 -x4092 -x4091 -x4090 -x4089 -x4088 -x4087 
1.10/1.16	v -x4086 -x4085 -x4084 -x4083 -x4082 -x4081 -x4080 -x4079 -x4078 -x4077 -x4076 -x4075 -x4074 -x4073 -x4072 -x4071 -x4070 -x4069 
1.10/1.16	v -x4068 -x4067 -x4066 -x4065 -x4064 -x4063 -x4062 -x4061 -x4060 -x4059 -x4058 -x4057 -x4056 -x4055 -x4054 -x4053 -x4052 -x4051 
1.10/1.16	v -x4050 -x4049 -x4048 -x4047 -x4046 -x4045 -x4044 -x4043 -x4042 -x4041 -x4040 -x4039 -x4038 -x4037 -x4036 -x4035 -x4034 -x4033 
1.10/1.16	v -x4032 -x4031 -x4030 -x4029 -x4028 -x4027 -x4026 -x4025 -x4024 -x4023 -x4022 -x4021 -x4020 -x4019 -x4018 -x4017 -x4016 -x4015 
1.10/1.16	v -x4014 -x4013 -x4012 -x4011 -x4010 -x4009 -x4008 -x4007 -x4006 -x4005 -x4004 -x4003 -x4002 -x4001 -x4000 -x3999 -x3998 -x3997 
1.10/1.16	v -x3996 -x3995 -x3994 -x3993 -x3992 -x3991 -x3990 -x3989 -x3988 -x3987 -x3986 -x3985 -x3984 -x3983 -x3982 -x3981 -x3980 
1.10/1.16	v -x3979 -x3978 -x3977 -x3976 -x3975 -x3974 -x3973 -x3972 -x3971 -x3970 -x3969 -x3968 -x3967 -x3966 -x3965 -x3964 -x3963 -x3962 
1.10/1.16	v -x3961 -x3960 -x3959 -x3958 -x3957 -x3956 -x3955 -x3954 -x3953 -x3952 -x3951 -x3950 -x3949 -x3948 -x3947 -x3946 -x3945 -x3944 
1.10/1.16	v -x3943 -x3942 -x3941 -x3940 -x3939 -x3938 -x3937 -x3936 -x3935 -x3934 -x3933 -x3932 -x3931 -x3930 -x3929 -x3928 -x3927 -x3926 
1.10/1.16	v -x3925 -x3924 -x3923 -x3922 -x3921 -x3920 -x3919 -x3918 -x3917 -x3916 -x3915 -x3914 -x3913 -x3912 -x3911 -x3910 -x3909 -x3908 
1.10/1.16	v -x3907 -x3906 -x3905 -x3904 -x3903 -x3902 -x3901 -x3900 -x3899 -x3898 -x3897 -x3896 -x3895 -x3894 -x3893 -x3892 -x3891 -x3890 
1.10/1.16	v -x3889 -x3888 -x3887 -x3886 -x3885 -x3884 -x3883 -x3882 -x3881 -x3880 -x3879 -x3878 -x3877 -x3876 -x3875 -x3874 -x3873 -x3872 
1.10/1.16	v -x3871 -x3870 -x3869 -x3868 -x3867 -x3866 -x3865 -x3864 -x3863 -x3862 -x3861 -x3860 -x3859 -x3858 -x3857 -x3856 -x3855 
1.10/1.16	v -x3854 -x3853 -x3852 -x3851 -x3850 -x3849 -x3848 -x3847 -x3846 -x3845 -x3844 -x3843 -x3842 -x3841 -x3840 -x3839 -x3838 -x3837 
1.10/1.16	v -x3836 -x3835 -x3834 -x3833 -x3832 -x3831 -x3830 -x3829 -x3828 -x3827 -x3826 -x3825 -x3824 -x3823 -x3822 -x3821 -x3820 -x3819 
1.10/1.16	v -x3818 -x3817 -x3816 -x3815 -x3814 -x3813 -x3812 -x3811 -x3810 -x3809 -x3808 -x3807 -x3806 -x3805 -x3804 -x3803 -x3802 -x3801 
1.10/1.16	v -x3800 -x3799 -x3798 -x3797 -x3796 -x3795 -x3794 -x3793 -x3792 -x3791 -x3790 -x3789 -x3788 -x3787 -x3786 -x3785 -x3784 -x3783 
1.10/1.16	v -x3782 -x3781 -x3780 -x3779 -x3778 -x3777 -x3776 -x3775 -x3774 -x3773 -x3772 -x3771 -x3770 -x3769 -x3768 -x3767 -x3766 -x3765 
1.10/1.16	v -x3764 -x3763 -x3762 -x3761 -x3760 -x3759 -x3758 -x3757 -x3756 -x3755 -x3754 -x3753 -x3752 -x3751 -x3750 -x3749 -x3748 -x3747 
1.10/1.16	v -x3746 -x3745 -x3744 -x3743 -x3742 -x3741 -x3740 -x3739 -x3738 -x3737 -x3736 -x3735 -x3734 -x3733 -x3732 -x3731 -x3730 
1.10/1.16	v -x3729 -x3728 -x3727 -x3726 -x3725 -x3724 -x3723 -x3722 -x3721 -x3720 -x3719 -x3718 -x3717 -x3716 -x3715 -x3714 -x3713 -x3712 
1.10/1.16	v -x3711 -x3710 -x3709 -x3708 -x3707 -x3706 -x3705 -x3704 -x3703 -x3702 -x3701 -x3700 -x3699 -x3698 -x3697 -x3696 -x3695 -x3694 
1.10/1.16	v -x3693 -x3692 -x3691 -x3690 -x3689 -x3688 -x3687 -x3686 -x3685 -x3684 -x3683 -x3682 -x3681 -x3680 -x3679 -x3678 -x3677 -x3676 
1.10/1.16	v -x3675 -x3674 -x3673 -x3672 -x3671 -x3670 -x3669 -x3668 -x3667 -x3666 -x3665 -x3664 -x3663 -x3662 -x3661 -x3660 -x3659 -x3658 
1.10/1.16	v -x3657 -x3656 -x3655 -x3654 -x3653 -x3652 -x3651 -x3650 -x3649 -x3648 -x3647 -x3646 -x3645 -x3644 -x3643 -x3642 -x3641 -x3640 
1.10/1.16	v -x3639 -x3638 -x3637 -x3636 -x3635 -x3634 -x3633 -x3632 -x3631 -x3630 -x3629 -x3628 -x3627 -x3626 -x3625 -x3624 -x3623 -x3622 
1.10/1.16	v -x3621 -x3620 -x3619 -x3618 -x3617 -x3616 -x3615 -x3614 -x3613 -x3612 -x3611 -x3610 -x3609 -x3608 -x3607 -x3606 -x3605 
1.10/1.16	v -x3604 -x3603 -x3602 -x3601 -x3600 -x3599 -x3598 -x3597 -x3596 -x3595 -x3594 -x3593 -x3592 -x3591 -x3590 -x3589 -x3588 -x3587 
1.10/1.16	v -x3586 -x3585 -x3584 -x3583 -x3582 -x3581 -x3580 -x3579 -x3578 -x3577 -x3576 -x3575 -x3574 -x3573 -x3572 -x3571 -x3570 -x3569 
1.10/1.16	v -x3568 -x3567 -x3566 -x3565 -x3564 -x3563 -x3562 -x3561 -x3560 -x3559 -x3558 -x3557 -x3556 -x3555 -x3554 -x3553 -x3552 -x3551 
1.10/1.16	v -x3550 -x3549 -x3548 -x3547 -x3546 -x3545 -x3544 -x3543 -x3542 -x3541 -x3540 -x3539 -x3538 -x3537 -x3536 -x3535 -x3534 -x3533 
1.10/1.16	v -x3532 -x3531 -x3530 -x3529 -x3528 -x3527 -x3526 -x3525 -x3524 -x3523 -x3522 -x3521 -x3520 -x3519 -x3518 -x3517 -x3516 -x3515 
1.10/1.16	v -x3514 -x3513 -x3512 -x3511 -x3510 -x3509 -x3508 -x3507 -x3506 -x3505 -x3504 -x3503 -x3502 -x3501 -x3500 -x3499 -x3498 -x3497 
1.10/1.16	v -x3496 -x3495 -x3494 -x3493 -x3492 -x3491 -x3490 -x3489 -x3488 -x3487 -x3486 -x3485 -x3484 -x3483 -x3482 -x3481 -x3480 
1.10/1.16	v -x3479 -x3478 -x3477 -x3476 -x3475 -x3474 -x3473 -x3472 -x3471 -x3470 -x3469 -x3468 -x3467 -x3466 -x3465 -x3464 -x3463 -x3462 
1.10/1.16	v -x3461 -x3460 -x3459 -x3458 -x3457 -x3456 -x3455 -x3454 -x3453 -x3452 -x3451 -x3450 -x3449 -x3448 -x3447 -x3446 -x3445 -x3444 
1.10/1.16	v -x3443 -x3442 -x3441 -x3440 -x3439 -x3438 -x3437 -x3436 -x3435 -x3434 -x3433 -x3432 -x3431 -x3430 -x3429 -x3428 -x3427 -x3426 
1.10/1.16	v -x3425 -x3424 -x3423 -x3422 -x3421 -x3420 -x3419 -x3418 -x3417 -x3416 -x3415 -x3414 -x3413 -x3412 -x3411 -x3410 -x3409 -x3408 
1.10/1.16	v -x3407 -x3406 -x3405 -x3404 -x3403 -x3402 -x3401 -x3400 -x3399 -x3398 -x3397 -x3396 -x3395 -x3394 -x3393 -x3392 -x3391 -x3390 
1.10/1.16	v -x3389 -x3388 -x3387 -x3386 -x3385 -x3384 -x3383 -x3382 -x3381 -x3380 -x3379 -x3378 -x3377 -x3376 -x3375 -x3374 -x3373 -x3372 
1.10/1.16	v -x3371 -x3370 -x3369 -x3368 -x3367 -x3366 -x3365 -x3364 -x3363 -x3362 -x3361 -x3360 -x3359 -x3358 -x3357 -x3356 -x3355 
1.10/1.16	v -x3354 -x3353 -x3352 -x3351 -x3350 -x3349 -x3348 -x3347 -x3346 -x3345 -x3344 -x3343 -x3342 -x3341 -x3340 -x3339 -x3338 -x3337 
1.10/1.16	v -x3336 -x3335 -x3334 -x3333 -x3332 -x3331 -x3330 -x3329 -x3328 -x3327 -x3326 -x3325 -x3324 -x3323 -x3322 -x3321 -x3320 -x3319 
1.10/1.16	v -x3318 -x3317 -x3316 -x3315 -x3314 -x3313 -x3312 -x3311 -x3310 -x3309 -x3308 -x3307 -x3306 -x3305 -x3304 -x3303 -x3302 -x3301 
1.10/1.16	v -x3300 -x3299 -x3298 -x3297 -x3296 -x3295 -x3294 -x3293 -x3292 -x3291 -x3290 -x3289 -x3288 -x3287 -x3286 -x3285 -x3284 -x3283 
1.10/1.16	v -x3282 -x3281 -x3280 -x3279 -x3278 -x3277 -x3276 -x3275 -x3274 -x3273 -x3272 -x3271 -x3270 -x3269 -x3268 -x3267 -x3266 -x3265 
1.10/1.16	v -x3264 -x3263 -x3262 -x3261 -x3260 -x3259 -x3258 -x3257 -x3256 -x3255 -x3254 -x3253 -x3252 -x3251 -x3250 -x3249 -x3248 -x3247 
1.10/1.16	v -x3246 -x3245 -x3244 -x3243 -x3242 -x3241 -x3240 -x3239 -x3238 -x3237 -x3236 -x3235 -x3234 -x3233 -x3232 -x3231 -x3230 
1.10/1.16	v -x3229 -x3228 -x3227 -x3226 -x3225 -x3224 -x3223 -x3222 -x3221 -x3220 -x3219 -x3218 -x3217 -x3216 -x3215 -x3214 -x3213 -x3212 
1.10/1.16	v -x3211 -x3210 -x3209 -x3208 -x3207 -x3206 -x3205 -x3204 -x3203 -x3202 -x3201 -x3200 -x3199 -x3198 -x3197 -x3196 -x3195 -x3194 
1.10/1.16	v -x3193 -x3192 -x3191 -x3190 -x3189 -x3188 -x3187 -x3186 -x3185 -x3184 -x3183 -x3182 -x3181 -x3180 -x3179 -x3178 -x3177 -x3176 
1.10/1.16	v -x3175 -x3174 -x3173 -x3172 -x3171 -x3170 -x3169 -x3168 -x3167 -x3166 -x3165 -x3164 -x3163 -x3162 -x3161 -x3160 -x3159 -x3158 
1.10/1.16	v -x3157 -x3156 -x3155 -x3154 -x3153 -x3152 -x3151 -x3150 -x3149 -x3148 -x3147 -x3146 -x3145 -x3144 -x3143 -x3142 -x3141 -x3140 
1.10/1.16	v -x3139 -x3138 -x3137 -x3136 -x3135 -x3134 -x3133 -x3132 -x3131 -x3130 -x3129 -x3128 -x3127 -x3126 -x3125 -x3124 -x3123 -x3122 
1.10/1.16	v -x3121 -x3120 -x3119 -x3118 -x3117 -x3116 -x3115 -x3114 -x3113 -x3112 -x3111 -x3110 -x3109 -x3108 -x3107 -x3106 -x3105 
1.10/1.16	v -x3104 -x3103 -x3102 -x3101 -x3100 -x3099 -x3098 -x3097 -x3096 -x3095 -x3094 -x3093 -x3092 -x3091 -x3090 -x3089 -x3088 -x3087 
1.10/1.16	v -x3086 -x3085 -x3084 -x3083 -x3082 -x3081 -x3080 -x3079 -x3078 -x3077 -x3076 -x3075 -x3074 -x3073 -x3072 -x3071 -x3070 -x3069 
1.10/1.16	v -x3068 -x3067 -x3066 -x3065 -x3064 -x3063 -x3062 -x3061 -x3060 -x3059 -x3058 -x3057 -x3056 -x3055 -x3054 -x3053 -x3052 -x3051 
1.10/1.16	v -x3050 -x3049 -x3048 -x3047 -x3046 -x3045 -x3044 -x3043 -x3042 -x3041 -x3040 -x3039 -x3038 -x3037 -x3036 -x3035 -x3034 -x3033 
1.10/1.16	v -x3032 -x3031 -x3030 -x3029 -x3028 -x3027 -x3026 -x3025 -x3024 -x3023 -x3022 -x3021 -x3020 -x3019 -x3018 -x3017 -x3016 -x3015 
1.10/1.16	v -x3014 -x3013 -x3012 -x3011 -x3010 -x3009 -x3008 -x3007 -x3006 -x3005 -x3004 -x3003 -x3002 -x3001 -x3000 -x2999 -x2998 -x2997 
1.10/1.16	v -x2996 -x2995 -x2994 -x2993 -x2992 -x2991 -x2990 -x2989 -x2988 -x2987 -x2986 -x2985 -x2984 -x2983 -x2982 -x2981 -x2980 
1.10/1.16	v -x2979 -x2978 -x2977 -x2976 -x2975 -x2974 -x2973 -x2972 -x2971 -x2970 -x2969 -x2968 -x2967 -x2966 -x2965 -x2964 -x2963 -x2962 
1.10/1.16	v -x2961 -x2960 -x2959 -x2958 -x2957 -x2956 -x2955 -x2954 -x2953 -x2952 -x2951 -x2950 -x2949 -x2948 -x2947 -x2946 -x2945 -x2944 
1.10/1.16	v -x2943 -x2942 -x2941 -x2940 -x2939 -x2938 -x2937 -x2936 -x2935 -x2934 -x2933 -x2932 -x2931 -x2930 -x2929 -x2928 -x2927 -x2926 
1.10/1.16	v -x2925 -x2924 -x2923 -x2922 -x2921 -x2920 -x2919 -x2918 -x2917 -x2916 -x2915 -x2914 -x2913 -x2912 -x2911 -x2910 -x2909 -x2908 
1.10/1.16	v -x2907 -x2906 -x2905 -x2904 -x2903 -x2902 -x2901 -x2900 -x2899 -x2898 -x2897 -x2896 -x2895 -x2894 -x2893 -x2892 -x2891 -x2890 
1.10/1.16	v -x2889 -x2888 -x2887 -x2886 -x2885 -x2884 -x2883 -x2882 -x2881 -x2880 -x2879 -x2878 -x2877 -x2876 -x2875 -x2874 -x2873 -x2872 
1.10/1.16	v -x2871 -x2870 -x2869 -x2868 
1.10/1.16	c SCIP Status        : problem is solved [optimal solution found]
1.10/1.16	c Solving Time       :       0.87
1.10/1.16	c Original Problem   :
1.10/1.16	c   Problem name     : HOME/instance-2704851-1278580127.opb
1.10/1.16	c   Variables        : 5835 (5835 binary, 0 integer, 0 implicit integer, 0 continuous)
1.10/1.16	c   Constraints      : 16856 initial, 16856 maximal
1.10/1.16	c Presolved Problem  :
1.10/1.16	c   Problem name     : t_HOME/instance-2704851-1278580127.opb
1.10/1.16	c   Variables        : 769 (769 binary, 0 integer, 0 implicit integer, 0 continuous)
1.10/1.16	c   Constraints      : 1889 initial, 1889 maximal
1.10/1.16	c Presolvers         :       Time  FixedVars   AggrVars   ChgTypes  ChgBounds   AddHoles    DelCons   ChgSides   ChgCoefs
1.10/1.16	c   trivial          :       0.01         14          0          0          0          0          0          0          0
1.10/1.16	c   dualfix          :       0.02       2975          0          0          0          0          0          0          0
1.10/1.16	c   boundshift       :       0.00          0          0          0          0          0          0          0          0
1.10/1.16	c   inttobinary      :       0.00          0          0          0          0          0          0          0          0
1.10/1.16	c   implics          :       0.01          0         61          0          0          0          0          0          0
1.10/1.16	c   probing          :       0.25          8        190          0          0          0          0          0          0
1.10/1.16	c   setppc           :       0.08          0          0          0          0          0        444          0          0
1.10/1.16	c   linear           :       0.29        269       1549          0        280          0      14489         23         33
1.10/1.16	c   logicor          :       0.04          0          0          0          0          0         34          0          0
1.10/1.16	c   root node        :          -          0          -          -          0          -          -          -          -
1.10/1.16	c Constraints        :     Number  #Separate #Propagate    #EnfoLP    #EnfoPS    Cutoffs    DomReds       Cuts      Conss   Children
1.10/1.16	c   integral         :          0          0          0          0          0          0          0          0          0          0
1.10/1.16	c   setppc           :       1177          0       2478          0          0          0          0          0          0          0
1.10/1.16	c   logicor          :        712          0       1997          0          0          7          0          0          0          0
1.10/1.16	c   countsols        :          0          0          0          0          0          0          0          0          0          0
1.10/1.16	c Constraint Timings :  TotalTime   Separate  Propagate     EnfoLP     EnfoPS
1.10/1.16	c   integral         :       0.00       0.00       0.00       0.00       0.00
1.10/1.16	c   setppc           :       0.11       0.00       0.11       0.00       0.00
1.10/1.16	c   logicor          :       0.02       0.00       0.02       0.00       0.00
1.10/1.16	c   countsols        :       0.00       0.00       0.00       0.00       0.00
1.10/1.16	c Propagators        :       Time      Calls    Cutoffs    DomReds
1.10/1.16	c   vbounds          :       0.00          2          0          0
1.10/1.16	c   rootredcost      :       0.00          0          0          0
1.10/1.16	c   pseudoobj        :       0.00          1          0          0
1.10/1.16	c Conflict Analysis  :       Time      Calls    Success  Conflicts   Literals    Reconvs ReconvLits   LP Iters
1.10/1.16	c   propagation      :       0.00          0          0          0        0.0          0        0.0          -
1.10/1.16	c   infeasible LP    :       0.00          0          0          0        0.0          0        0.0          0
1.10/1.16	c   bound exceed. LP :       0.00          0          0          0        0.0          0        0.0          0
1.10/1.16	c   strong branching :       0.00          0          0          0        0.0          0        0.0          0
1.10/1.16	c   pseudo solution  :       0.00          1          0          0        0.0          0        0.0          -
1.10/1.16	c   applied globally :          -          -          -          0        0.0          -          -          -
1.10/1.16	c   applied locally  :          -          -          -          0        0.0          -          -          -
1.10/1.16	c Separators         :       Time      Calls    Cutoffs    DomReds       Cuts      Conss
1.10/1.16	c   cut pool         :       0.00          0          -          -          0          -    (maximal pool size: 0)
1.10/1.16	c   redcost          :       0.00          0          0          0          0          0
1.10/1.16	c   impliedbounds    :       0.00          0          0          0          0          0
1.10/1.16	c   intobj           :       0.00          0          0          0          0          0
1.10/1.16	c   cgmip            :       0.00          0          0          0          0          0
1.10/1.16	c   gomory           :       0.00          0          0          0          0          0
1.10/1.16	c   strongcg         :       0.00          0          0          0          0          0
1.10/1.16	c   cmir             :       0.00          0          0          0          0          0
1.10/1.16	c   flowcover        :       0.00          0          0          0          0          0
1.10/1.16	c   clique           :       0.00          0          0          0          0          0
1.10/1.16	c   zerohalf         :       0.00          0          0          0          0          0
1.10/1.16	c   mcf              :       0.00          0          0          0          0          0
1.10/1.16	c   rapidlearning    :       0.00          0          0          0          0          0
1.10/1.16	c Pricers            :       Time      Calls       Vars
1.10/1.16	c   problem variables:       0.00          0          0
1.10/1.16	c Branching Rules    :       Time      Calls    Cutoffs    DomReds       Cuts      Conss   Children
1.10/1.16	c   pscost           :       0.00          0          0          0          0          0          0
1.10/1.16	c   inference        :       0.00          0          0          0          0          0          0
1.10/1.16	c   mostinf          :       0.00          0          0          0          0          0          0
1.10/1.16	c   leastinf         :       0.00          0          0          0          0          0          0
1.10/1.16	c   fullstrong       :       0.00          0          0          0          0          0          0
1.10/1.16	c   allfullstrong    :       0.00          0          0          0          0          0          0
1.10/1.16	c   random           :       0.00          0          0          0          0          0          0
1.10/1.16	c   relpscost        :       0.00          0          0          0          0          0          0
1.10/1.16	c Primal Heuristics  :       Time      Calls      Found
1.10/1.16	c   LP solutions     :       0.00          -          0
1.10/1.16	c   pseudo solutions :       0.00          -          0
1.10/1.16	c   oneopt           :       0.00          0          0
1.10/1.16	c   trivial          :       0.01          2          0
1.10/1.16	c   simplerounding   :       0.00          0          0
1.10/1.16	c   zirounding       :       0.00          0          0
1.10/1.16	c   rounding         :       0.00          0          0
1.10/1.16	c   shifting         :       0.00          0          0
1.10/1.16	c   intshifting      :       0.00          0          0
1.10/1.16	c   twoopt           :       0.00          0          0
1.10/1.16	c   fixandinfer      :       0.00          0          0
1.10/1.16	c   feaspump         :       0.00          0          0
1.10/1.16	c   coefdiving       :       0.00          0          0
1.10/1.16	c   pscostdiving     :       0.00          0          0
1.10/1.16	c   fracdiving       :       0.00          0          0
1.10/1.16	c   veclendiving     :       0.00          0          0
1.10/1.16	c   intdiving        :       0.00          0          0
1.10/1.16	c   actconsdiving    :       0.00          0          0
1.10/1.16	c   objpscostdiving  :       0.00          0          0
1.10/1.16	c   rootsoldiving    :       0.00          0          0
1.10/1.16	c   linesearchdiving :       0.00          0          0
1.10/1.16	c   guideddiving     :       0.00          0          0
1.10/1.16	c   octane           :       0.00          0          0
1.10/1.16	c   rens             :       0.00          0          0
1.10/1.16	c   rins             :       0.00          0          0
1.10/1.16	c   localbranching   :       0.00          0          0
1.10/1.16	c   mutation         :       0.00          0          0
1.10/1.16	c   crossover        :       0.00          0          0
1.10/1.16	c   dins             :       0.00          0          0
1.10/1.16	c   undercover       :       0.00          0          0
1.10/1.16	c   nlp              :       0.00          0          0
1.10/1.16	c   trysol           :       0.00          0          0
1.10/1.16	c LP                 :       Time      Calls Iterations  Iter/call   Iter/sec
1.10/1.16	c   primal LP        :       0.00          0          0       0.00          -
1.10/1.16	c   dual LP          :       0.01          1        123     123.00          -
1.10/1.16	c   lex dual LP      :       0.00          0          0       0.00          -
1.10/1.16	c   barrier LP       :       0.00          0          0       0.00          -
1.10/1.16	c   diving/probing LP:       0.00          0          0       0.00          -
1.10/1.16	c   strong branching :       0.00          0          0       0.00          -
1.10/1.16	c     (at root node) :          -          0          0       0.00          -
1.10/1.16	c   conflict analysis:       0.00          0          0       0.00          -
1.10/1.16	c B&B Tree           :
1.10/1.16	c   number of runs   :          1
1.10/1.16	c   nodes            :          1
1.10/1.16	c   nodes (total)    :          1
1.10/1.16	c   nodes left       :          0
1.10/1.16	c   max depth        :          0
1.10/1.16	c   max depth (total):          0
1.10/1.16	c   backtracks       :          0 (0.0%)
1.10/1.16	c   delayed cutoffs  :          0
1.10/1.16	c   repropagations   :          0 (0 domain reductions, 0 cutoffs)
1.10/1.16	c   avg switch length:       2.00
1.10/1.16	c   switching time   :       0.00
1.10/1.16	c Solution           :
1.10/1.16	c   Solutions found  :          1 (1 improvements)
1.10/1.16	c   First Solution   : +0.00000000000000e+00   (in run 1, after 1 nodes, 0.87 seconds, depth 0, found by <relaxation>)
1.10/1.16	c   Primal Bound     : +0.00000000000000e+00   (in run 1, after 1 nodes, 0.87 seconds, depth 0, found by <relaxation>)
1.10/1.16	c   Dual Bound       : +0.00000000000000e+00
1.10/1.16	c   Gap              :       0.00 %
1.10/1.16	c   Root Dual Bound  : +0.00000000000000e+00
1.10/1.16	c   Root Iterations  :        123
1.19/1.20	c Time complete: 1.19.

Verifier Data

OK	0

Watcher Data

runsolver version 3.2.9 (svn:492) (c) roussel@cril.univ-artois.fr

command line: BIN/runsolver --timestamp -w /tmp/evaluation-result-2704851-1278580127/watcher-2704851-1278580127 -o /tmp/evaluation-result-2704851-1278580127/solver-2704851-1278580127 -C 1800 -W 2000 -M 1800 HOME/pbscip.linux.x86_64.gnu.opt.spx -f HOME/instance-2704851-1278580127.opb -t 1800 -m 1800 

Enforcing CPUTime limit (soft limit, will send SIGTERM then SIGKILL): 1800 seconds
Enforcing CPUTime limit (hard limit, will send SIGXCPU): 1830 seconds
Enforcing wall clock limit (soft limit, will send SIGTERM then SIGKILL): 2000 seconds
Enforcing VSIZE limit (soft limit, will send SIGTERM then SIGKILL): 1843200 KiB
Enforcing VSIZE limit (hard limit, stack expansion will fail with SIGSEGV, brk() and mmap() will return ENOMEM): 1894400 KiB
Current StackSize limit: 10240 KiB


[startup+0 s]
/proc/loadavg: 0.86 0.97 0.98 3/106 1217
/proc/meminfo: memFree=1776700/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=5824 CPUtime=0
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 802 0 0 0 0 0 0 0 20 0 1 0 26220299 5963776 716 1992294400 4194304 8395647 140733387408528 18446744073709551615 7355228 0 0 4096 0 0 0 0 17 1 0 0 0
/proc/1217/statm: 1456 720 341 1026 0 426 0

[startup+0.025308 s]
/proc/loadavg: 0.86 0.97 0.98 3/106 1217
/proc/meminfo: memFree=1776700/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=12920 CPUtime=0.01
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 2515 0 0 0 1 0 0 0 20 0 1 0 26220299 13230080 2425 1992294400 4194304 8395647 140733387408528 18446744073709551615 4245985 0 0 4096 0 0 0 0 17 1 0 0 0
/proc/1217/statm: 3230 2425 393 1026 0 2200 0
Current children cumulated CPU time (s) 0.01
Current children cumulated vsize (KiB) 12920

[startup+0.101315 s]
/proc/loadavg: 0.86 0.97 0.98 3/106 1217
/proc/meminfo: memFree=1776700/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=18280 CPUtime=0.09
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 3785 0 0 0 8 1 0 0 20 0 1 0 26220299 18718720 3695 1992294400 4194304 8395647 140733387408528 18446744073709551615 6347101 0 0 4096 0 0 0 0 17 1 0 0 0
/proc/1217/statm: 4570 3706 393 1026 0 3540 0
Current children cumulated CPU time (s) 0.09
Current children cumulated vsize (KiB) 18280

[startup+0.301333 s]
/proc/loadavg: 0.86 0.97 0.98 3/106 1217
/proc/meminfo: memFree=1776700/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=32432 CPUtime=0.29
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 7712 0 0 0 26 3 0 0 20 0 1 0 26220299 33210368 7268 1992294400 4194304 8395647 140733387408528 18446744073709551615 6348918 0 0 4096 16384 0 0 0 17 1 0 0 0
/proc/1217/statm: 8145 7268 412 1026 0 7115 0
Current children cumulated CPU time (s) 0.29
Current children cumulated vsize (KiB) 32432

[startup+0.701368 s]
/proc/loadavg: 0.86 0.97 0.98 3/106 1217
/proc/meminfo: memFree=1776700/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=45808 CPUtime=0.69
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 10800 0 0 0 65 4 0 0 20 0 1 0 26220299 46907392 10356 1992294400 4194304 8395647 140733387408528 18446744073709551615 5017672 0 0 4096 16384 0 0 0 17 1 0 0 0
/proc/1217/statm: 11452 10356 478 1026 0 10422 0
Current children cumulated CPU time (s) 0.69
Current children cumulated vsize (KiB) 45808

Solver just ended. Dumping a history of the last processes samples

[startup+1.1084 s]
/proc/loadavg: 0.86 0.97 0.98 2/107 1218
/proc/meminfo: memFree=1736268/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=46544 CPUtime=1.1
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 10994 0 0 0 105 5 0 0 22 0 1 0 26220299 47661056 10550 1992294400 4194304 8395647 140733387408528 18446744073709551615 5220191 0 0 4096 16384 0 0 0 17 1 0 0 0
/proc/1217/statm: 11636 10550 498 1026 0 10606 0
Current children cumulated CPU time (s) 1.1
Current children cumulated vsize (KiB) 46544

[startup+1.20041 s]
/proc/loadavg: 0.86 0.97 0.98 2/107 1218
/proc/meminfo: memFree=1736268/2059040 swapFree=4139712/4192956
[pid=1217] ppid=1215 vsize=35396 CPUtime=1.19
/proc/1217/stat : 1217 (pbscip.linux.x8) R 1215 1217 754 0 -1 4202496 11522 0 0 0 114 5 0 0 22 0 1 0 26220299 36245504 6996 1992294400 4194304 8395647 140733387408528 18446744073709551615 7602442 0 0 4096 0 0 0 0 17 1 0 0 0
/proc/1217/statm: 8849 6919 588 1026 0 7819 0
Current children cumulated CPU time (s) 1.19
Current children cumulated vsize (KiB) 35396

Child status: 0
Real time (s): 1.20439
CPU time (s): 1.20482
CPU user time (s): 1.14882
CPU system time (s): 0.055991
CPU usage (%): 100.035
Max. virtual memory (cumulated for all children) (KiB): 46544

getrusage(RUSAGE_CHILDREN,...) data:
user time used= 1.14882
system time used= 0.055991
maximum resident set size= 0
integral shared memory size= 0
integral unshared data size= 0
integral unshared stack size= 0
page reclaims= 11529
page faults= 0
swaps= 0
block input operations= 0
block output operations= 0
messages sent= 0
messages received= 0
signals received= 0
voluntary context switches= 1
involuntary context switches= 3

runsolver used 0.005999 second user time and 0.017997 second system time

The end

Launcher Data

Begin job on node041 at 2010-07-08 11:08:48
IDJOB=2704851
IDBENCH=72343
IDSOLVER=1213
FILE ID=node041/2704851-1278580127
PBS_JOBID= 11240084
Free space on /tmp= 62188 MiB

SOLVER NAME= SCIPspx SCIP 1.2.1.3 with SoPlex 1.4.2 (CVS Version 30.5.2010) as LP solver
BENCH NAME= PB10/normalized-PB10/OPT-BIGINT-LIN/leberre/opb-trendy/misc2010/random/rand.smallist/normalized-randd6bc17.cudf.trendy.opb
COMMAND LINE= HOME/pbscip.linux.x86_64.gnu.opt.spx -f BENCHNAME -t TIMEOUT -m MEMLIMIT
RUNSOLVER COMMAND LINE= BIN/runsolver --timestamp -w /tmp/evaluation-result-2704851-1278580127/watcher-2704851-1278580127 -o /tmp/evaluation-result-2704851-1278580127/solver-2704851-1278580127 -C 1800 -W 2000 -M 1800  HOME/pbscip.linux.x86_64.gnu.opt.spx -f HOME/instance-2704851-1278580127.opb -t 1800 -m 1800

TIME LIMIT= 1800 seconds
MEMORY LIMIT= 1800 MiB
MAX NB THREAD= 0

MD5SUM BENCH= d67dac7e06dfeb8b53756e448890550b
RANDOM SEED=415732499

node041.alineos.net Linux 2.6.18-164.el5 #1 SMP Thu Sep 3 03:28:30 EDT 2009

/proc/cpuinfo:
processor	: 0
vendor_id	: GenuineIntel
cpu family	: 15
model		: 4
model name	:                   Intel(R) Xeon(TM) CPU 3.00GHz
stepping	: 3
cpu MHz		: 3000.244
cache size	: 2048 KB
physical id	: 0
siblings	: 1
core id		: 0
cpu cores	: 1
apicid		: 0
fpu		: yes
fpu_exception	: yes
cpuid level	: 5
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx lm constant_tsc pni monitor ds_cpl cid cx16 xtpr
bogomips	: 6000.48
clflush size	: 64
cache_alignment	: 128
address sizes	: 36 bits physical, 48 bits virtual
power management:

processor	: 1
vendor_id	: GenuineIntel
cpu family	: 15
model		: 4
model name	:                   Intel(R) Xeon(TM) CPU 3.00GHz
stepping	: 3
cpu MHz		: 3000.244
cache size	: 2048 KB
physical id	: 3
siblings	: 1
core id		: 0
cpu cores	: 1
apicid		: 6
fpu		: yes
fpu_exception	: yes
cpuid level	: 5
wp		: yes
flags		: fpu vme de pse tsc msr pae mce cx8 apic sep mtrr pge mca cmov pat pse36 clflush dts acpi mmx fxsr sse sse2 ss ht tm syscall nx lm constant_tsc pni monitor ds_cpl cid cx16 xtpr
bogomips	: 5599.45
clflush size	: 64
cache_alignment	: 128
address sizes	: 36 bits physical, 48 bits virtual
power management:


/proc/meminfo:
MemTotal:      2059040 kB
MemFree:       1777228 kB
Buffers:         39808 kB
Cached:         143720 kB
SwapCached:       7448 kB
Active:         107980 kB
Inactive:        89776 kB
HighTotal:           0 kB
HighFree:            0 kB
LowTotal:      2059040 kB
LowFree:       1777228 kB
SwapTotal:     4192956 kB
SwapFree:      4139712 kB
Dirty:           11120 kB
Writeback:           0 kB
AnonPages:       11644 kB
Mapped:          11996 kB
Slab:            62188 kB
PageTables:       4184 kB
NFS_Unstable:        0 kB
Bounce:              0 kB
CommitLimit:   5222476 kB
Committed_AS:   181396 kB
VmallocTotal: 34359738367 kB
VmallocUsed:    264948 kB
VmallocChunk: 34359471699 kB
HugePages_Total:     0
HugePages_Free:      0
HugePages_Rsvd:      0
Hugepagesize:     2048 kB

Free space on /tmp at the end= 62176 MiB
End job on node041 at 2010-07-08 11:08:49